SystemVerilog学习之路(6)— 最小时间和时间片

一、前言

delta-cycle(无限最小时间):默认情况下,时钟对于组合电路的驱动会添加一个无限最小时间(delta-cycle)的延迟,而该延迟无法用绝对时间单位衡量,它比最小时间单位精度还小。
time-slot(时间片): 在仿真器中敲入命令run 0,即是让仿真器运行一个时间片的时间,在一个时间片中可以发生很多事情,一个时间片里面包含无数个delta-cycle

二、代码实现

编写代码如下所示

`timescale 1ns/1ns
module race1;bit clk1, clk2;bit rstn;logic[7:0] d1;initial beginforever #5 clk1 <= !clk1;endalways @(clk1) clk2 <= clk1;initial begin#10 rstn <= 0;#20 rstn <= 1;endalways @(posedge clk1, negedge rstn) beginif(!rstn) d1 <= 0;else d1 <= d1 + 1;endalways @(posedge clk1) $display("%0t ns d1@clk1 value is 0x%0x", $time, d1);always @(posedge clk2) $display("%0t ns d1@clk2 value is 0x%0x", $time, d1);endmodule

编译仿真运行100ns如下所示,在45ns时,在clk1和clk2上升沿打印出来的数据不相同

查看波形可以看到,d1显示的值为02

三、delta-cycle

我们将时间轴放在45ns处,点击【Expanded Time Deltas Mode】工具图标

然后点击【Expand Time At Active Cursor】工具图标

接着就可以看到delta-cycle的作用显示出来了,clk2和d1都是在45ns+1处发生变化的,即45ns后再加一个delta-cycle处才发生变化的

SystemVerilog学习之路(6)— 最小时间和时间片相关推荐

  1. 软件测试的学习之路-----计算机基础 (详情展示)

    文章目录 一:计算机基本介绍 二:硬件系统 三:软件系统 四:二进制的基本介绍 五:常见的数字进制 六:进制之间的转换 七:编码 八:数据的计量单位 九:编程语言 十:基本的DOS命令 十一:欢迎查看 ...

  2. Python学习之路9☞面向对象的程序设计

    Python学习之路9☞面向对象的程序设计 一 面向对象的程序设计的由来 见概述:http://www.cnblogs.com/linhaifeng/articles/6428835.html 二 什 ...

  3. Go语言学习之路(二)

    Go语言学习之路(二) 面对对象编程思想 抽象 封装 继承 接口 文件 命令行参数 Json 序列化 反序列化(unmarshal) 单元测试 Redis Redis简介 Redis基本使用 Go连接 ...

  4. HTML5学习之路(电影影评网)

    HTML5学习之路 首先了解一下HTML的特性: 1.良好的语义特性:增加了各种元素赋予网页更好的意义和结构,使文档更加清晰明确,新增的如header,article,nav,main,aside,f ...

  5. n76e003引脚图_N76E003的学习之路(二)

    N76E003的学习之路(二) N76E003 作者:张凌001 来源:不详 发布时间:2018-03-09 19:48:36   浏览:1157 最近一直在想N76E003和STM8M003的对比情 ...

  6. Maui学习之路(三)--Winui3深入探讨

    Maui的学习之路 --- Winui3深入探讨 学习Maui已经有一段时间,随着不断地深入,对Maui有了一些初步的了解. 我们都知道Maui为了保持平台原生特性,所以在每一个平台都使用了平台自身的 ...

  7. 流媒体学习之路(BBR算法应用)——BBR算法简介

    流媒体学习之路(BBR算法应用)--BBR算法简介 文章目录 流媒体学习之路(BBR算法应用)--BBR算法简介 一.弱网优化简介 1.1 补包 1.2 前向纠错 1.3 自适应 二.BBR算法 2. ...

  8. 流媒体学习之路(WebRTC)——GCC分析(1)

    流媒体学习之路(WebRTC)--GCC整体分析(1) 文章目录 流媒体学习之路(WebRTC)--GCC整体分析(1) 一.简介 二.类分析 2.1 RtpTransportControllerSe ...

  9. 流媒体学习之路(mediasoup)——拥塞控制分析(6)

    流媒体学习之路(mediasoup)--拥塞控制分析(6) 文章目录 流媒体学习之路(mediasoup)--拥塞控制分析(6) 一.TransportCongestionControlClient ...

最新文章

  1. 机器学习敲门砖:任何人都能看懂的TensorFlow介绍
  2. 风变编程python小课课件_风变编程Python小课最近很火,大家学完感受如何?
  3. C#程序开发中经常遇到的10条实用的代码
  4. 【REACT NATIVE 系列教程之十二】REACT NATIVE(JS/ES)与IOS(OBJECT-C)交互通信
  5. 牛逼!不得不服,第一次有人把Java 反射机制讲解这么透!
  6. linux下jdk的安装和配置
  7. 面试中如何剔除“鱼目混珠”程序员?
  8. c 控制mysql数据导出_MySql数据库导入导出的三种方式
  9. 李飞飞点赞!,刚刚公布了第一批数据集benchmark入围名单
  10. mysql主从搭建_手把手教你搭建MySQL主从架构
  11. paip.换工作机后svn服务修复
  12. 一次搞定各种数据库 SQL 执行计划:MySQL、Oracle、SQL Server、PostgreSQL 以及 SQLite
  13. 阿酷三合一版_【3DMAX阿酷下载】3DMAX阿酷插件 v3.2 最终版-开心电玩
  14. vue中打印表格功能(重点是表格制作)
  15. OCR证件识别及其衍生产品介绍
  16. Toad:基于 Python 的标准化评分卡模型
  17. Java前端内联和外联的区别,css外联和内联、嵌入的区别是什么?
  18. win 7 与 virtualbox ubuntu 共享文件夹
  19. python和scre_python中变量命名的基本规则,标识符和关键字
  20. android遥控器适配

热门文章

  1. SignalR 实时通讯
  2. 我对 缓冲(buffer)和 缓存(cache)的理解
  3. 左侧导航栏|左侧选项卡实例
  4. 数据同步神器Canel-day01
  5. matlab 非结构网格,基于MATLAB的非结构网格生成器和浅水问题的数值模拟
  6. jQuery仿Android锁屏图案应用插件
  7. js 监听 复制图片 拖拽上传文件 并填充到markdown编辑器
  8. 微信直播可以直播什么内容
  9. 破解受保护的excel中的密码
  10. Deflater 和 Inflater 的用法