因为Chisel的功能相对Verilog来说还不完善,所以设计人员在当前版本下无法实现的功能,就需要用Verilog来实现。在这种情况下,可以使用Chisel的BlackBox功能,它的作用就是向Chisel代码提供了用Verilog设计的电路的接口,使得Chisel层面的代码可以通过模块的端口来进行交互。

一、例化黑盒

如果读者尝试在Chisel的模块里例化另一个模块,然后生成Verilog代码,就会发现端口名字里多了“io_”这样的字眼。很显然,这是因为Chisel要求模块的端口都是由字段“io”来引用的,语法分析脚本在生成Verilog代码时会保留这个端口名前缀。

假设有一个外部的Verilog模块,它的端口列表声明如下:

module Dut ( input [31: 0] a, input clk, input reset, output [3: 0] b );

按照Verilog的语法,它的例化代码应该是这样的:

Dut u0 ( .a(u0_a), .clk(u0_clk), .reset(u0_reset), .b(u0_b) );

其中,例化时的名字和连接的线网名是可以任意的,但是模块名“Dut”和端口名“.a”、“.clk”、“.reset”、 “.b”是固定的。

倘若把这个Verilog模块声明成普通的Chisel模块,然后直接例化使用,那么例化的Verilog代码就会变成:

Dut u0 ( .io_a(io_u0_a), .io_clk(io_u0_clk), .io_reset(io_u0_reset), .io_b(io_u0_b) );

也就是说,本来应该是“.a”,变成了“.io_a”。当然,这样做首先在Chisel层面上就不会成功,因为Chisel的编译器不允许模块内部连线为空,不能只有端口声明而没有内部连线的模块。

如果定义Dut类时,不是继承自Module,而是继承自BlackBox,则允许只有端口定义,也只需要端口定义。此外,在别的模块里例化黑盒时,编译器不会给黑盒的端口名加上“io_”,连接的线网名变成引用黑盒的变量名与黑盒端口名的组合。例如:

// blackbox.scala
package testimport chisel3._class Dut extends BlackBox {val io = IO(new Bundle {val a = Input(UInt(32.W))val clk = Input(Clock())val reset = Input(Bool())val b = Output(UInt(4.W))  })
}class UseDut extends Module {val io = IO(new Bundle {val toDut_a = Input(UInt(32.W))val toDut_b = Output(UInt(4.W))  })val u0 = Module(new Dut)u0.io.a := io.toDut_au0.io.clk := clocku0.io.reset := resetio.toDut_b := u0.io.b
}object UseDutTest extends App {chisel3.Driver.execute(args, () => new UseDut)
}

它对应生成的Verilog代码为:

// UseDut.v
module UseDut(input         clock,input         reset,input  [31:0] io_toDut_a,output [3:0]  io_toDut_b
);wire [31:0] u0_a; // @[blackbox.scala 20:18]wire  u0_clk; // @[blackbox.scala 20:18]wire  u0_reset; // @[blackbox.scala 20:18]wire [3:0] u0_b; // @[blackbox.scala 20:18]Dut u0 ( // @[blackbox.scala 20:18].a(u0_a),.clk(u0_clk),.reset(u0_reset),.b(u0_b));assign io_toDut_b = u0_b; // @[blackbox.scala 25:14]assign u0_a = io_toDut_a; // @[blackbox.scala 22:11]assign u0_clk = clock; // @[blackbox.scala 23:13]assign u0_reset = reset; // @[blackbox.scala 24:15]
endmodule

可以看到,例化黑盒生成的Verilog代码,完全符合Verilog例化模块的语法规则。通过黑盒导入Verilog模块的端口列表给Chisel模块使用,然后把Chisel代码转换成Verilog,把它与导入的Verilog一同传递给EDA工具使用。

BlackBox的构造方法可以接收一个Map[String, Param]类型的参数,这会使得例化外部的Verilog模块时具有配置模块的“#(参数配置)”。映射的键固定是字符串类型,它对应Verilog里声明的参数名;映射的值对应传入的配置参数,可以是字符串,也可以是整数和浮点数。虽然值的类型是Param,这是一个Chisel的印章类,但是单例对象chisel3.experimental里定义了相应的隐式转换,可以把BigInt、Int、Long、Double和String转换成对应的Param类型。例如把上例修改成:

...
import chisel3.experimental._class Dut extends BlackBox(Map("DATA_WIDTH" -> 32,"MODE" -> "Sequential","RESET" -> "Asynchronous")) {val io = IO(new Bundle {val a = Input(UInt(32.W))val clk = Input(Clock())val reset = Input(Bool())val b = Output(UInt(4.W))  })
}
...

对应的Verilog就变成了:

...Dut #(.DATA_WIDTH(32), .MODE("Sequential"), .RESET("Asynchronous")) u0 ( // @[blackbox.scala 23:18].a(u0_a),.clk(u0_clk),.reset(u0_reset),.b(u0_b));
...

通过这种方式,借助Verilog把Chisel的功能暂时补齐了。比如UCB发布的Rocket-Chip,就是用黑盒导入异步寄存器,供内部代码使用。

二、复制Verilog文件

chisel3.util包里有一个特质HasBlackBoxResource,如果在黑盒类里混入这个特质,并且在src/main/resources文件夹里有对应的Verilog源文件,那么在Chisel转换成Verilog时,就会把Verilog文件一起复制到目标文件夹。例如:

...
import chisel3.util._class Dut extends BlackBox with HasBlackBoxResource {val io = IO(new Bundle {val a = Input(UInt(32.W))val clk = Input(Clock())val reset = Input(Bool())val b = Output(UInt(4.W))  })setResource("/dut.v")
}
...

注意,相比一般的黑盒,除了端口列表的声明,还多了一个特质里的setResource方法的调用。方法的入参是Verilog文件的相对地址,即相对src/main/resources的地址。

三、内联Verilog文件

chisel3.util包里还有有一个特质HasBlackBoxInline,混入该特质的黑盒类可以把Verilog代码直接内嵌进去。内嵌的方式是调用特质里的方法“setInline(blackBoxName: String, blackBoxInline: String)”,类似于setResource的用法。这样,目标文件夹里就会生成一个单独的Verilog文件,复制内嵌的代码。该方法适合小型Verilog设计。例如:

...
import chisel3.util._class Dut extends BlackBox with HasBlackBoxInline {val io = IO(new Bundle {val a = Input(UInt(32.W))val clk = Input(Clock())val reset = Input(Bool())val b = Output(UInt(4.W))  })setInline("dut.v","""|module dut(input [31:0] a,|           input clk,|           input reset,|           output [3:0] b);|  |  reg [3:0] b_temp;||  always @ (posedge clk, negedge reset)|    if(!reset)|      b_temp <= 'b0;|    else if(a == 'b0)|      b_temp <= b_temp + 1'b1||  assign b = b_temp;|endmodule""".stripMargin)
}
...

字符串中的“ | ”表示文件的边界,比如Scala的解释器在换行后的开头就是一根竖线,方法stripMargin用于消除竖线左侧的空格。

调用这个黑盒的模块在转换成Verilog后,目标文件夹里会生成一个“dut.v”文件,内容就是内嵌的Verilog代码。

四、inout端口

Chisel目前只支持在黑盒中引入Verilog的inout端口。Bundle中使用 “Analog(位宽)”声明Analog类型的端口,经过编译后变成Verilog的inout端口。模块里的端口可以声明成Analog类型,但只能用于与黑盒连接,不能在Chisel代码中进行读写。因为是双向端口,所以不需要用Input或Output指明方向,但是可以用Flipped来翻转,也就不会影响整个Bundle的翻转。使用前,要先用“chisel3.experimental._”进行导入。

例如:

// inout.scala
package testimport chisel3._
import chisel3.util._
import chisel3.experimental._class InoutIO extends Bundle {val a = Analog(16.W)val b = Input(UInt(16.W))val sel = Input(Bool())val c = Output(UInt(16.W))
}class InoutPort extends BlackBox with HasBlackBoxInline {val io = IO(new InoutIO)setInline("InoutPort.v","""|module InoutPort( inout [15:0] a,|                  input [15:0] b,|                  input        sel,|                  output [15:0] c);|  assign a = sel ? 'bz : b;|  assign c = sel ? a : 'bz;|endmodule""".stripMargin)
}class MakeInout extends Module {val io = IO(new InoutIO)val m = Module(new InoutPort)m.io <> io
}object InoutGen extends App {chisel3.Driver.execute(args, () => new MakeInout)
}

对应的Verilog为:

// MakeInout.v
module MakeInout(input         clock,input         reset,inout  [15:0] io_a,input  [15:0] io_b,input         io_sel,output [15:0] io_c
);wire [15:0] m_b; // @[inout.scala 32:17]wire  m_sel; // @[inout.scala 32:17]wire [15:0] m_c; // @[inout.scala 32:17]InoutPort m ( // @[inout.scala 32:17].a(io_a),.b(m_b),.sel(m_sel),.c(m_c));assign io_c = m_c; // @[inout.scala 34:8]assign m_b = io_b; // @[inout.scala 34:8]assign m_sel = io_sel; // @[inout.scala 34:8]
endmodule

五、总结

本章介绍了三种黑盒的用法,其目的在于通过外部的Verilog文件来补充Chisel还没有的功能。除此之外,由于还没有EDA工具直接支持Chisel,比如在开发FPGA项目时,要例化Xilinx或Altera的IP,就需要用到黑盒。

上一章   Chisel基础——生成Verilog与基本测试

下一章   Chisel基础——多时钟域设计

第二十一章 Chisel基础——黑盒相关推荐

  1. 鸟哥的Linux私房菜(基础篇)- 第二十一章、系统配置工具(网络与打印机)与硬件侦测

    第二十一章.系统配置工具(网络与打印机)与硬件侦测 最近升级日期:2009/09/15 除了手动配置之外,其实系统提供了一个名为 setup 的命令给系统管理员使用喔!这个命令还能够配置网络呢.此外, ...

  2. 【Linux命令】《鸟哥Linux基础》第二十一章 软件安装:源代码与Tarball

    第二十一章 软件安装:源代码与Tarball 了解:如何将开放源码的程序设计.加入函数库的原理.通过编译而成为可执行的二进制程序,最后该文件可被我们所使用的一连串过程. 这一章介绍最原始的软件管理方式 ...

  3. 第十九章 Chisel基础——常用的硬件原语

    前两章介绍了基本的数据类型和硬件类型,已经足够编写基本的小规模电路.至于要如何生成Verilog,会在后续章节讲解.如果要编写大型电路,当然也可以一砖一瓦地搭建,但是费时费力,完全体现不出软件语言的优 ...

  4. 鸟哥的Linux私房菜(服务器)- 第二十一章、文件服务器之三: FTP 服务器

    第二十一章.文件服务器之三: FTP 服务器 最近更新日期:2011/08/08 FTP (File Transfer Protocol) 可说是最古老的协议之一了,主要是用来进行档案的传输,尤其是大 ...

  5. 数字图像处理:第二十一章 视频压缩标准

    第二十一章视频压缩标准 目录 引言 H.261标准 MPEG-1标准 MPEG-2标准 MPEG-4标准 MPEG-7标准 作业 1. 引言 视频压缩标准是多媒体领域中的重要内容,针对视频会议.网络通 ...

  6. 【正点原子STM32连载】第二十一章 通用定时器实验 摘自【正点原子】MiniPro STM32H750 开发指南_V1.1

    1)实验平台:正点原子MiniPro H750开发板 2)平台购买地址:https://detail.tmall.com/item.htm?id=677017430560 3)全套实验源码+手册+视频 ...

  7. 《统计学习方法》学习笔记 第二十一章 PageRank算法

    目录 1 PageRank的定义 1.1 基本想法 1.2 有向图和随机游走模型 1 有向图(directed graph) 2 随机游走模型 3 PageRank的基本定义 4 PageRank的一 ...

  8. 【正点原子FPGA连载】第二十一章 HDMI彩条显示实验 -摘自【正点原子】领航者ZYNQ之FPGA开发指南_V2.0

    1)实验平台:正点原子领航者ZYNQ开发板 2)平台购买地址:https://item.taobao.com/item.htm?&id=606160108761 3)全套实验源码+手册+视频下 ...

  9. 计算机网络离不开光缆,九年级物理全册 第二十一章 第四节 越来越宽的信息之路习题课件 新人教版.ppt...

    九年级物理全册 第二十一章 第四节 越来越宽的信息之路习题课件 新人教版.ppt 第二十一章信息的传递,第四节越来越宽的信息之路,1微波的性质更接近光波,大致沿_______传播,需要每隔_____k ...

最新文章

  1. Maven实战读书笔记(六):Maven灵活构建
  2. 吴恩达《神经网络与深度学习》精炼笔记(5)-- 深层神经网络
  3. 7-3 模板题 (10 分)(思路+详解)
  4. java 对象等于_java 之类对象等于对象 | 学步园
  5. linux安装python和pip3,Linux安装python3.6 和pip
  6. 杭电4535吉哥系列故事——礼尚往来
  7. python中的scipy基础知识_Python机器学习(五十二)SciPy 基础功能
  8. 软件设计师-知识产权和标准化知识
  9. html怎么打出黑方块,方块怎么打,特殊符号黑方块
  10. 手把手教你通过PS给所需证件照进行换底色处理
  11. 大数据和云计算有什么关系?
  12. 2021_IJCAI_Graph Learning based Recommender Systems: A Review—(IJCAI, 2021)
  13. ABAP BYPASSING BUFFER 及 表缓存,缓冲概念 SAP
  14. 计算机开机报一长两短,电脑开机发出一长两短报警声启动不了怎么办
  15. 【Zookeeper面试篇】什么是羊群效应,公平锁怎么实现
  16. 通配符 或 怎么浓_浓咖啡的咖啡渣新鲜度
  17. [五一随想] 生活长乐
  18. JSON 是什么?JSON 介绍
  19. BlockingQueue(阻塞队列)
  20. 【平头哥RVB2601开发板试用体验】I/O扩展

热门文章

  1. 给图片数据集批量改名字
  2. 在VScode上运行第一个R程序
  3. 微信小程序和H5之间相互跳转的几种情况
  4. 诺贝尔化学奖:酶分子“定向进化”
  5. 城市列表取汉字的第一个字的首字母并排序功能
  6. Arm Mali GPU最佳实践(Arm Mali GPU Best Practices)
  7. linux系统编程心得体会范文大全,编程心得体会范文
  8. canvas画布--铅笔效果
  9. python pyecharts绘制矩形树图Treemap
  10. 我的directX编程学习(4)-坐标变换初步