当今的 高速网络应用需要高带宽和高密度存储器解决方案。例如,标准的网络线路卡需要用于各种操作的存储器,包括信息包缓冲器、查找表和队列管理等诸多功能。为了确保存储器带宽不会成为应用吞吐量的瓶颈,选择正确的存储器解决方案是至关重要的。本文将介绍适合于网络应用的存储器。具体来说,就是四倍数据速率静态RAM( QDR SRAM)和低延迟动态RAM(RLDARM),并就其最为适合的应用进行了比较。

网络SRAM的发展  
标准的同步SRAM(最早的主流同步SRAM)是高速缓冲存储器应用的理想选择。然而,尽管其应用十分广泛,但对于规定了一个平衡读/写模式的网络应用而言,它们并不是合适之选。因为写操作后紧跟着的读操作将导致在数据总线上出现争用状态。为了解决总线争用问题,人们开发了“无总线延迟”(NoBL)、也称“零总线转向”(ZBT)型SRAM。这些SRAM在外围电路中包含了数据寄存器,用于实现流水线型的读和写操作,由此消除“等待”周期并实现峰值总线利用率。然而,随着线路速率达到每秒几十千兆位(Gbps),与速度、带宽和接口相关的各种瓶颈问题必须得到解决。许多不仅要求较高的工作速度、而且还需要对存储器进行同时读写操作的应用已经涌现出来。虽然最初非常适合于网络架构,但是,NoBL SRAM却无法满足其性能要求的不断攀升。因此,人们开发出了最新一代的网络存储器——QDR/DDR系列SRAM,旨在满足如今网络应用的速度、密度和带宽要求。 
作为最新一代的同步SRAM,QDR和QDR-II SRAM是由QDR协会(赛普拉斯、瑞萨、IDT、NEC和三星)的成员公司开发的。该网络SRAM系列与双倍数据速率(DDR)和DDR-II SRAM一起提供了面向所有网络系统的完整存储器解决方案。 
QDR和QDR-II SRAM的速度高达300MHz以上,密度为9Mb至72Mb(今后有望扩展至高达288Mb以上)。QDR和QDR-II SRAM具有用于读和写操作的单独端口,因而消除了总线争用。与其他的SRAM相比,这些端口上的双倍数据速率接口基本上使每个引脚的带宽增加了一倍。较之早期的同步SRAM,拥有单独的输入和输出端口并在这些端口上设置DDR接口使得总带宽增加了3倍。 
DDR和DDR-II SRAM与QDR SRAM隶属于相同的存储器系列。它们与QDR和QDR-II SRAM很相似,主要的差异在于DDR和DDR-II SRAM不具备单独的读和写端口。QDR SRAM能够同时执行读和写操作,而DDR器件则只能分别(而不是在某一给定的时刻同时)执行读和写操作。 
还有其他一些特点也使得QDR系列 DRAM 成为高速网络应用的理想选择,包括输出时钟、可编程输出阻抗以及回波时钟等。 
新来的竞争者RLDRAM  
RLDRAM是专为解决延迟问题而设计的,因而在低延迟、高带宽SRAM市场上的普及率日益提高。低延迟DRAM(RLDRAM)是一种由美光和英飞凌公司开发的DRAM架构,它利用一种改进的架构和接口设计解决了tRC限制问题。 
RLDRAM II器件采用了一种8排存储器阵列架构。一直以来,DRAM采用的都是4排配置,但RLDRAM所采用的这种8排配置有助于实现其峰值带宽,虽然是在特定的条件下。存储体的增加使其可被存取的概率提高了,也就是说,其中一个存储体或许已经处于预充电状态了。这使得RLDRAM II中的可用存储体命中概率有所提升。 
而且,RLDRAM II还具有一个SRAM型接口,从而令其比其他DRAM更加适合于网络应用。器件的寻址与SRAM相似——提供的地址不必非得像使用标准DRAM时那样以行地址和列地址的形式给出。此外,RLDRAM II还具备双倍数据速率接口,允许在时钟脉冲的上升沿和下降沿上均传送数据,从而使得带宽比采用标准的单数据速率接口时增加了1倍。 
RLDRAM II的其他特点包括: 
1,与QDR/DDR系列SRAM相似,RLDRAM II架构也具有单独I/O(SIO)和共用I/O(CIO)版本。SIO RLDRAM II架构允许像QDR那样同时进行读和写操作,而CIO架构则与DDR SRAM相似。 
2,虽然具有一种SRAM型寻址功能,但RLDRAM也可以采用传统的DRAM多路复用寻址电路。该功能使得RLDRAM在寻址方面具有了与老式控制器设计的后向兼容性,并且减少了存储控制器所使用的地址引脚的数量。 
3,一个输出信号用于指示在I/O线路上被读出的数据。 
4,RLDRAM II设计还采用了数据选通时钟,即一对用于锁存输出数据的自由振荡时钟(类似于QDR-II的回波时钟)。在某种特定的存取或寻址模式下,RLDRAM II架构能够实现100%的带宽利用率。 
QDR SRAM和RLDRAM的比较  
在分析了两种高速存储器解决方案之间的架构差异之后,现在我们将根据它们在各种情况下的稳定性来对其加以比较。 
应用的随机性  
尽管RLDRAM II能够运用一种循环配置寻址方案和一种特定的存取顺序来实现100%的带宽利用率,但是,当进行随机数据存取时,它就不那么有效了。虽然RLDRAM II的架构特征确保了tRC的缩短,但是,它们并没有完全消除该延迟及其对带宽的影响。 
相比之下,QDR SRAM在存取操作之间不需要任何等待,因此不会受到应用随机性的影响。它们可实现100%的带宽利用率,而与存取顺序或数据模式的随机性无关。 
初始延迟  
与QDR SRAM相比,RLDRAM II的初始延迟要高得多。QDR和QDR-II SRAM的初始读操作延迟分别仅为1.0和1.5个时钟周期;于是,在一个脉冲串期间,QDR SRAM中第一个数据段的出现要比在RLDRAM II中早得多。这使得QDR SRAM成为低延迟应用的理想选择。在RLDRAM II中,当接连出现短数据存取操作时,漫长的初始延迟将是一个问题。 
密度和成本  
在对存储器选择方案做出决定时,如果“密度”和“每位成本”是比“应用随机性”和“连续峰值带宽利用率”更加重要的考虑因素,则RLDRAM将能够凭借较小的1T存储单元而提供一种切实可行的选择方案。 
总线利用率  
在选择正确的存储器解决方案时,总线利用率是一个关键的因素。DDR SIO SRAM、QDR SRAM或RLDRAM II SIO将是更好的选择。 
另一方面,诸如DDR SRAM和RLDRAM II CIO等CIO器件将能更好地适合具有1:1的“长期”读/写比的应用。如果读和写操作发生在长序列中且不经常交替,则为克服总线争用而丢失的周期数量与用于读和写操作的周期数量相比非常之少,从而使得诸如DDR SRAM或RLDRAM II CIO等CIO器件成为一种合适的选择方案。在此类应用中,选择SIO器件将导致I/O在相当大一部分周期里被浪费。 
第三种可能的情形是读和写操作同时出现。在这样的系统中,采用诸如QDR SRAM和RLDRAM II SIO等SIO器件将是很理想的。 
总之,在选择正确的存储器解决方案时(就I/O架构而言),全面了解应用的总线利用率是至关重要的。 
作者:Anuj Chakrapani, 赛普拉斯半导体存储与成像部应用工程师

转载于:https://blog.51cto.com/unicorn/709146

QDR SRAM 和 RLDRAM 的比较相关推荐

  1. QDR SRAM接口FPGA 详细Verilog代码

    QDR SRAM介绍 QDR 具有独立的读.写数据通路,均使用DDR,在每个时钟周期内会传输四个总线宽度的数据 (两个读和两个写),这就是QDR四倍数据速率的由来. 这里用到的是典型2字突发的QDR, ...

  2. DDR、GDDR、QDR的区别

    NVIDIA新一代显卡即将出炉,尽管对于个中细节并不了解细节,但业界普遍认为无论是NVIDIA还是AMD,新一代显卡搭载GDDR6显存可能性极高.什么?我的电脑才用上DDR4,怎么显卡就用GDDR6了 ...

  3. ZYNQ 高速QDR IP功能和调用

    一,简介 Quad Data Rate II+存储装置是QDR SRAM系列存储器最新成员,其数据率可达250 MHz以上.Quad Data Rate II+系列SRAM是用于高带宽.低延迟应用的理 ...

  4. 常用存储器(ROM/RAM/FLASH/SDRAM/SRAM/DDR/EEPROM/emmc)

    单片机 ROM /RAM/FLASH区别 1.ROM,RAM和FLASH在单片机中的作用 ROM--存储固化程序的(存放指令代码和一些固定数值,程序运行后不可改动) c文件及h文件中所有代码.全局变量 ...

  5. Altera FPGA/CPLD设计 基础篇+高级篇(附随书光盘)

    获取方法: 微信公众号:OpenFPGA   后台回复 Altera设计 基础篇介绍 <Altera FPGA/CPLD设计(基础篇)>是王诚.蔡海宁.吴继华编著的一本图书.该书可作为高等 ...

  6. 为高性能FPGA平台选择合适的存储器

    从纯技术角度考虑两个最广泛使用的DRAM选项-同步DRAM(SDRAM)和减少延迟的DRAM(RLDRAM).SDRAM tRC在过去10年中没有实质性的发展,约为48ns,这与21MT/s的RTR相 ...

  7. Spartan-II 应用指南 转载

    Spartan-II 应用指南 XAPP058 -利用嵌入式微控制器进行Xilinx在系统(In-System)编程 Xilinx 高性能CPLD.FPGA和可配置的PROM系列提供了在系统(In-S ...

  8. Quartus II 订购版 和 网络版 软件功能 区别

    参见: http://www.altera.com.cn/products/software/products/quartus2web/features/sof-quarweb_features.ht ...

  9. altera fpga sdi输出方案_高段位攻城狮是这样解决SI分析、DDR、FPGA国产化问题的! | 电巢直播答疑汇总...

    小长假结束后的第三个工作日,你们是否还记得节前的直播课内容嘞?温故而知新,在学习新东西的时候,记得多回顾, 直播中大家遇到的问题已经整理出来了,老师们已经做了详细的回答,如果还有不理解的地方,可以在E ...

最新文章

  1. vs 2005 下载,再汉化---没想到是痛苦的过程
  2. shiro+php,一套基于SpringBoot+Vue+Shiro 前后端分离 开发的代码生成器
  3. 【长篇连载】桌面管理演义 第六回 违规言论别乱发 访问控制把你抓
  4. hihoCoder1678 版本号排序
  5. css布局方式_收藏!40 个 CSS 布局技巧
  6. KubeCon+CloudNativeCon首登中国,时速云受邀发表主题演讲
  7. POJ-1062-昂贵的聘礼 (最短路)
  8. NGINX上PHP header问题
  9. dell戴尔服务器错误代码及解决方案2013版
  10. 原子操作--sync/atomic的用法
  11. 计算机软件技术基础教程 试卷,《计算机软件技术基础》试卷A-16
  12. 嵌入式开发中常用的软件设计模式
  13. SpringCloud 学习笔记 前端(二) ES6语法相关介绍
  14. 本周最新文献速递20220320
  15. python 推导式练习题
  16. Request processing failed; nested exception is org.thymeleaf.exceptions.TemplateInputException
  17. 图片如何修复清晰度?好用的图片修复方法介绍
  18. OCR证件识别技术的功能特点
  19. 微信小程序云原生开发——快速入门
  20. 向微信公众号文章添加通知文件

热门文章

  1. 怎么样才算侵犯版权 ?
  2. linux怎样测试tty,ttylinux 设置
  3. dreamweaver网页制作成品
  4. 字体小谈:CreateFont和LOGFONT
  5. 2023年全国最新保安员精选真题及答案18
  6. 使用Homebrew更新gcc时卡住的解决方法
  7. 红米note9promax和红米note8pro哪个好-红米note9promax和红米note8pro有什么区别
  8. 【协议】TCP/IP
  9. mac系统中Safari浏览器网页如何保存至备忘录Notes?
  10. Restrictions的用法