IDDR和ODDR原语是针对7系列芯片使用,spand可以使用IDDR2和ODDR2

IDDR三种模式

OPPOSITE_EDGE Mode
传统的输入DDR解决方案或OPPOSITE_EDGE模式是通过ILOGIC模块中的单个输入实现的。 数据在时钟的上升沿通过输出Q1提供给FPGA逻辑,在时钟的下降沿通过输出Q2提供给FPGA逻辑。 该结构类似于Virtex-6 FPGA实现。 图1 显示了使用OPPOSITE_EDGE模式的输入DDR的时序图。

SAME_EDGE Mode
在SAME_EDGE模式下,数据在同一时钟边沿上呈现给FPGA逻辑。 该结构类似于Virtex-6 FPGA实现。
图2显示了使用SAME_EDGE模式的输入DDR的时序图。 在时序图中,输出对Q1和Q2不再是(0)和(1)。 相反,第一对呈现的是Q1(0)和Q2(不关心),然后是下一个时钟周期的对(1)和(2)。

SAME_EDGE_PIPELINED Mode
在SAME_EDGE_PIPELINED模式下,数据在同一时钟边沿上呈现给FPGA逻辑。
与SAME_EDGE模式不同,数据对不会分开一个时钟周期。 但是,需要额外的时钟延迟来消除SAME_EDGE模式的分离效果。 图3显示了使用SAME_EDGE_PIPELINED 模式的输入DDR的时序图。 输出对Q1和Q2同时提供给FPGA逻辑。

ODDR

在介绍ODDR之前,我们先简单了解一下OLOGIC。

OLOGIC块在FPGA内的位置紧挨着IOB,其作用是FPGA通过IOB发送数据到器件外部的专用同步块。OLOGIC 资源的类型有OLOGIC2(位于HP I/O banks)和OLOGIC3(位于HR I/O banks)。

OLOGIC2和OLOGIC3不属于原语,正因为这样,所以它们不能被直接例化。它包含在布局布线后用户可例化的一些元素,例如一个输出触发器(OFD–output flip-flop)或者一个ODDR(output DDR element)。

OLOGIC主要由两个block组成,一个用于配置输出路径。另外一个用于配置三态控制路径。这两个block具有共同的时钟,但是具有不同的使能信号OCE和TCE。两者具有由SRVAL属性精致的异步和同步set和reset(S/R信号)。

输出路径和三态控制路径可以 以 下列模式之一独立配置:

1.边沿触发的D触发器

2.DDR模式(ASAME_EDGE 或 OPPOSITE_EDGE)

3.电平敏感锁存器

4.异步电路/组合逻辑

7系列器件在OLOGIC中有专用寄存器来实现输出DDR寄存器。这个功能在例化ODDR时可见。在使用OLOGIC时,DDR的复用是自动的,不需要手动控制多路复用。这个控制是从时钟产生的。

ODDR原语是由一个时钟输入,下降沿数据由输入时钟的本地反转来计时。反馈到I/O块的所有的时钟被完全复用。例如:在ILOGIC和OLOGIC块之间没有时钟共享。ODDR原语支持OPPOSITE_EDGE 模式、SAME_EDGE模式。

SAME_EDGE模式与Virtex-6架构相同,这个模式允许设计者在ODDR时钟的上升沿向ODDR原语提供数据输入,从而节省CLB和时钟资源,并提高性能。此模式使用DDR_CLK_EDGE属性实现。它也支持三态控制。

通过ODDR把两路单端的数据合并到一路上输出,上下沿同时输出数据,上沿输出a路下沿输出b路;如果两路输入信号一路恒定为1,一路恒定为0,那么输出的信号实际上就是输入的时钟信号。

OPPOSITE_EDGE模式:

在此模式中,时钟边沿被用来以两倍的吞吐量从FPGA逻辑中捕获数据。这种结构与virtex-6的实现比较相似。两个输出都提供给IOB的数据输入或者三态控制输入。

SAME_EDGE模式:

在此模式下,数据可以在相同的时钟边沿从给IOB。相同的时钟沿将数据送给IOB可以避免建立时间违规,并允许用户使用最小的寄存器来执行更高的DDR频率来进行寄存器的延迟,而不是使用CLB寄存器。

注意:

1、set和reset不能同时置位;

2、ODDR原语的复位需要约12个clock,第一次输入的数据可能会有问题(亲测),但亲测是如此。

3、ODDR的输出必须直接连到输出pad上,不可以再引进逻辑内部。因此会出现do_oddr的输出无法连入OLOGIC中

实际使用中,如果需要引入其他逻辑,可以考虑使用ibuf

ODDR原语结构图如下。

表2-10列出了ODDR端口信号。

表2-11描述了各种属性和ODDR原语的默认值。

IDDR和ODDR使用相关推荐

  1. (54)Xilinx双沿原语-IDDR与ODDR(第11天)

    (54)Xilinx双沿原语-IDDR与ODDR(第11天) 1 文章目录 1)文章目录 2)FPGA初级课程介绍 3)FPGA初级课程架构 4)Xilinx双沿原语-IDDR与ODDR(第11天) ...

  2. VIVADO IDDR与ODDR原语的使用

    IDDR与ODDR简单的应用 项目简述 IDDR与ODDR的简述 RGMII时序简述 千兆网输入与输出模块的设计 测试模块的设计 仿真测试结果 总结 项目简述 在数据的传输过程中,我们经常可以碰见双沿 ...

  3. Xilinx IDDR和ODDR原语

    IDDR和ODDR原语是针对7系列芯片使用,spand可以使用IDDR2和ODDR2 IDDR三种模式 OPPOSITE_EDGE Mode 传统的输入DDR解决方案或OPPOSITE_EDGE模式是 ...

  4. tb文件 vivado_Vivado IDDR与ODDR原语的使用

    在数据的传输过程中,我们经常可以碰见双沿传输数据到FPGA,或者FPGA传输双沿数据给外部芯片,最常见的例子就是DDR芯片.这里说明一下,FPGA内部处理的数据都是单沿数据,那么双沿数据的变换只能发生 ...

  5. Xilinx IDDR及ODDR使用总结

    概述 AD9361数据接口采用了DDR双沿采样模式,如下所示,AD9361与Xilinx FPGA通信需要用到其IDDR和ODDR原语,为加深记忆,将IDDR及ODDR使用总结如下. IDDR IDD ...

  6. 关于IDDR与ODDR以及IBUFDS和OBUFDS的使用

    IBUFDS:差分转单端 OBUFDS:单端转差分 IDDR:单端转双沿数据 ODDR:双沿数据转单端数据 注意:ODDR与IDDR工作有一个复位时间,大概110-120ns之间 IDDR是上升沿采一 ...

  7. Xilinx IDDR与ODDR原语的使用

    IDDR原语 如图所示,IDDR原语的输入输出包括D,CE,C,S,R,Q1,Q2,其中,D为输入的双倍速率的数据,即D在时钟的上升沿和下降沿都会发生切换,一个时钟周期发送2bit数据,CE为时钟使能 ...

  8. xilinx源语 IDDR和ODDR

    1 IDDR Primitive: Input Dual Data-Rate Register 1.1 介绍 该设计元素是专用的输入寄存器,旨在将外部双数据速率(DDR)信号接收到Xilinx FPG ...

  9. xilinx oddr idelay用法简单介绍

    我们知道xilinx FPGA的selectio中有ilogic和ologic资源,可以实现iddr/oddr,idelay和odelay等功能.刚入门时可能对xilinx的原语不太熟练,在vivad ...

最新文章

  1. 记一次 react 15.3.1 老项目升级到 react 16.7.0 之路
  2. shell如果文件夹不存在则创建
  3. CentOS 7.4下使用yum安装MySQL5.7.20 最简单的
  4. 【小议】centos与ubuntu的区别
  5. 语言舒尔特方格程序_有效提升孩子注意力的方法,舒尔特方格训练法,简单有效...
  6. mysql行级锁作用_Mysql事务及行级锁的理解
  7. 【Java】RuleSource约束常用方法整理
  8. 大数据_Flink_流式处理_简介_流数处理的发展演变---Flink工作笔记0004
  9. Rust : stevenbai.top学习站点
  10. mysql ssd优化测试_MySQL服务器SSD性能问题分析与测试
  11. python读取excel在web中显示_在网页中预览excel表格文件
  12. Unity Debug.Log输出带颜色的日志,告别黑白冷色
  13. 程序员的发展之道---海贼王(山治)
  14. 借助 Play Commerce 实现购买优化、灵活订阅和收入增长
  15. iPhone 11 Pro 的拍照好在哪?这是专业摄影师给出的答案
  16. 学习数学:往日油印稿,今日电子书
  17. 微信获取nickname mysql乱码_微信nickname乱码(emoji)及mysql编码格式设置(utf8mb4)解决的过程...
  18. 教育培训行业市场营销推广方案分享
  19. 地震时我先跑了教师挑逗网民抽人欲望
  20. 一个c加一个g是什么牌子_车标是一个很神奇的存在 那你知道“G”是什么汽车吗?...

热门文章

  1. WebSocket接口初体验
  2. 计算机网络技术在教学中的,网络技术在教学中的作用
  3. java 字符串分词_Java实现的双向匹配分词算法示例
  4. vista 之万能五笔7问题
  5. 独立版狮子鱼16.0.2社区团购直播小程序商城+团长功能+接龙分销+拼团秒杀
  6. 高端大气的的企业站通用模板
  7. VS2015配置ITK环境
  8. C# StringBuilder 的使用
  9. 【思科CCNA理论专题:8】-- OSPF理论-晁海江-专题视频课程
  10. ROS 官翻 navigation Tutorials RobotSetup