https://zhuanlan.zhihu.com/p/137433454

Options中将-flatten_hierarchy设为full,意思是模块综合后的层次结构全部为平级,只剩下顶层,这样产生的网表文件就不易被查看到层级关系了,可详见UG901的第11页

More Options选项设置为-mode out_of_context,原因是因为Vivado在综合的时候会自动将顶层的IO口自动插入buffer,而现在需要生成网表的模块往往不是顶层,生成的文件是需要被其他模块例化的,所以不能含有IO buffer,设为-mode out_of_context即表示不插入IO buffers,注意mode前面有个"-",具体可详见UG901的第26页

//vivado 2017.4及以前
write_verilog -mode port <design_name>.v//vivado 2018.1及以后
write_verilog -mode synth_stub <design_name>.v//例如本次,文件名前面不加地址则默认保存在C:/Users/<user>/AppData/Roaming/Xilinx/Vivado/下
write_verilog -mode synth_stub D:/fsm_test_top.v
//模块不包含Xilinx的IP
write_edif <design_name>.edf//模块包含Xilinx的IP
write_edif -security_mode all <design_name>.edf//本次模块中没有使用Xilinx的IP
write_edif D:/fsm_test_top.edf

//--------------------------------------------------------------

https://forums.xilinx.com/t5/Vivado/vivado%E7%94%9F%E6%88%90%E7%BD%91%E8%A1%A8-edf-%E6%96%87%E4%BB%B6-%E6%96%B0%E5%B7%A5%E7%A8%8B%E4%B8%AD%E4%B8%8D%E8%83%BD%E8%BF%9B%E8%A1%8C%E4%BB%BF%E7%9C%9F/m-p/1134183

vivado生成网表(edf)文件,新工程中不能进行仿真

Open synthesized design

write_verilog -mode funcsim xxx.v

synth_stub只是导出黑盒子模块声明。

edf本身是不能用于仿真的,必须借助write_verilog导出仿真网表。关于不同仿真阶段的描述,可以参考UG900。

https://www.xilinx.com/support/documentation/sw_manuals/xilinx2020_1/ug900-vivado-logic-simulation.pdf

P34,Generating a Netslit

P162, Running Simulation Modes

//------------------------------------------------------------

查看工具

http://www.concept.de/GateVision.html

FPGA网表edf导出及仿真相关推荐

  1. 【LabVIEW FPGA图形化】 ngc、edf网表文件的编写:LED流水灯

    [LabVIEW FPGA图形化] ngc.edf网表文件的编写:LED流水灯 文章目录 前言 一.什么是FPGA图形化设计 二.为什么要学习FPGA图形化开发? 三.Xilinx Spartan-6 ...

  2. Vivado生成及仿真网表文件

    Vivado生成及仿真网表文件 1- 将子模块设置为顶层模块 - 右键Set as top 2-将该顶层模块设置综合属性 Options中将-faltten_hierarchy设置为full属性(意思 ...

  3. Altium Designer 导出网表 到Cadence教程

    使用Altium Designer 画原理图,使用Cadence绘制PCB 本文,主要描述了,如何使用Altium Desginer 导出Cadence可以使用的网表 1.导出网表 Design--& ...

  4. 网表仿真DC/SDF/DFT等基本知识

    网表: 网表是逻辑电路设计转化为物理实现的工作产品,网表可以有各种抽象级,例如门级网表和晶体管级网表.门级网表通常用于数字电路,晶体管级网表通常用于模拟电路. 门级网表 门级网表中,描述的是门级电路的 ...

  5. Cadence IC61 使用spectre仿真后仿网表流程

    前言 接上一篇<Calibre xRC生成spectre后仿网表流程>,本篇中还是假设主网表中Top cell名称为yyy,三个生成的网表名称分别为"xxx",&quo ...

  6. AD22 - 从PCB导出网表

    文章目录 AD22 - 从PCB导出网表 概述 笔记 网表的格式 网表信息 孤立的网表信息 END AD22 - 从PCB导出网表 概述 从一张PCB反推了原理图, 现在想知道是否有遗漏或手误. 想从 ...

  7. 硬件学习 软件Cadence day02 画原理图的基本操作 (键盘快捷键 , 原理图设计流程 , 从开始到导出网表流程)

    1. ORCAD  Capture  cls  界面的快捷键 键盘  按键 对应的操作 I 放大      (可以滚轮操作) O 缩小      (可以滚轮操作) W 画线 Esc 退出现在的状态  ...

  8. vivado生成和调用edf网表文件

    1.把需要生成网表模块文件set as top . 2.设置project setting如下, Options中将-flatten_hierarchy设为full,意思是模块综合后的层次结构全部为平 ...

  9. 【黑金原创教程】【TimeQuest】【第二章】TimeQuest模型角色,网表概念,时序报告...

    声明:本文为黑金动力社区(http://www.heijin.org)原创教程,如需转载请注明出处,谢谢! 黑金动力社区2013年原创教程连载计划: http://www.cnblogs.com/al ...

最新文章

  1. Django实战之添加markdown编写文章的支持
  2. indexof方法_[ 翻译 ] ES6中数组去重的三种方法
  3. 在Win7 64位注册ActiveX控件
  4. python中的 set 中的元素
  5. 解决点击事件让背景变红,在点击背景变正常,并且可以多选的效果
  6. 利用Flutter写一个跨平台的果核APP(3)——网络请求
  7. datetime 日期_用Hamcrest验证DateTime和日期
  8. 第1次作业:阅读优秀博文谈感想
  9. 数字图像处理--引入齐次坐标
  10. 初识 Vue(01)---(输出 Hello World)
  11. ​再现Bug?iPhone13 拍照翻车自带炫屏 网友:没想到我也“中奖”了
  12. 笔记|软件调试的技巧
  13. 每日三道前端面试题--vue 第一弹
  14. 2021年全国安全生产月 安全知识网络竞赛 链工宝“测测你的安全力”题库 三百多道真题含答案
  15. Microsoft Edge浏览器不兼容解决办法
  16. 34%的人会出轨。。。
  17. 阿里云ACP云计算错题集1-40
  18. 数据结构之树与二叉树
  19. 应用层(计网_06)
  20. 【NOIP_模拟题】survive

热门文章

  1. 关于 Delphi跨平台开发Android调用 JNI JAR java 的说明和注意事项
  2. 海康威视研究院算法岗面经
  3. “真实的了解,真挚的关爱” 全国助残日活动
  4. win7系统ftp服务器搭建,win7系统 ftp服务器搭建
  5. javav转义字符“\”和文档注释//
  6. Redis常用命令|查询|删除|模糊操作
  7. Jenkins的流水线(Pipeline)
  8. 《Adobe Photoshop CS6中文版经典教程(彩色版)》—第1课1.6节查找资源
  9. 苹果6屏幕多大_iPhone 12 Pro测试:屏幕/镜头玻璃硬度为6、依旧不耐划-苹果,iPhone 12 Pro ——快科技(驱动之家旗下媒体)-...
  10. python实现文件传输