最近在使用AD7708采集数据-本来是想使用LPC1788自带的SSP进行控制,但是在多次实验过程中发现,单个读取寄存器是没有问题的,但是在连续读取时出现问题,一直没有解决,项目着急使用就直接用IO口模拟SPI,比较简单就准确读出了数据,也没有出现上述问题,记之!

    个人还是觉得是自己配置的原因,后续会继续研究SSP的控制AD7708,希望能够搞清楚!

主函数只需要调用两个函数---AD7708_Reg_Init();----AD7708_ReadAD_Data();

/*-------ad7708_spi_io.h-------------*/


#ifndef __AD7708_SPI_IO_H
#define __AD7708_SPI_IO_H

#include"lpc177x_8x.h"

#define AD7708_CS_LOW() (LPC_GPIO0->CLR |=(1<<6))
#define AD7708_CS_HIGH() (LPC_GPIO0->SET |=(1<<6))

#define AD7708_SCLK_LOW() (LPC_GPIO0->CLR |=(1<<7))
#define AD7708_SCLK_HIGH() (LPC_GPIO0->SET |=(1<<7))

#define AD7708_DIN_LOW() (LPC_GPIO0->CLR |=(1<<9))
#define AD7708_DIN_HIGH() (LPC_GPIO0->SET |=(1<<9))

#define AD7708_RESET_LOW() (LPC_GPIO0->CLR |=(1<<18))
#define AD7708_RESET_HIGH() (LPC_GPIO0->SET |=(1<<18))

#define AD7708_RDY_LOW() (((LPC_GPIO0->PIN) & (1<<17)) == 0x00)

#define AD7708_DOUT_HIGH() (((LPC_GPIO0->PIN) & (1<<8)) != 0x00)

void AD7708_Reg_Init(void);
void AD7708_Reset(void);

void AD7708_Write8Bit(uint8_t data);
uint8_t AD7708_Read8Bit(void);
uint16_t AD7708_Read16Bit(void);

uint16_t AD7708_ReadAD_Data(uint8_t Channel);

#endif


/*--------ad7708_spi_io.c------------------------*/

#include "ad7708_spi_io.h"

/*----------短延时---------*/
void AD7708_Delay(uint16_t time)
{
    uint16_t i;

    for(i=0;i<time;i++){}
}
/*-----------AD7708-IO初始化-------*/
uint8_t AD7708_IO_Init(void)
{
    LPC_IOCON->P0_6=0x30;  //CS
    LPC_GPIO0->DIR |=(1<<6);
    LPC_GPIO0->SET |=(1<<6);

    LPC_IOCON->P0_7=0xA0;  //SCLK
    LPC_GPIO0->DIR |=(1<<7);
    LPC_GPIO0->SET |=(1<<7);

    LPC_IOCON->P0_8=0xA0;  //DOUT-MISO
    LPC_GPIO0->DIR &=(~(1<<8)); //输入

    LPC_IOCON->P0_9=0xA0;   //DIN-MOSI
    LPC_GPIO0->DIR |=(1<<9);
    LPC_GPIO0->SET |=(1<<9);

    LPC_IOCON->P0_17=0x20;  //RDY
    LPC_GPIO0->DIR &=(~(1<<17)); //输入

    LPC_IOCON->P0_18=0x30;   //RESET
    LPC_GPIO0->DIR |=(1<<18);
    LPC_GPIO0->SET |=(1<<18);
}
/*------------------复位AD7708-------------------*/
void AD7708_Reset(void)
{
    AD7708_IO_Init();
    AD7708_RESET_HIGH(); //RESET=1
    AD7708_Delay(10);
    AD7708_RESET_LOW(); //RESET=0
    AD7708_Delay(100);
    AD7708_RESET_HIGH();//RESET=1
    AD7708_Delay(10);
    AD7708_CS_HIGH();  //CS=1
    AD7708_DIN_HIGH();  //DIN=1
    AD7708_SCLK_HIGH();  //SCK=1
}
/*-----------判断AD7708是否忙状态----监测RDY引脚------------*/
uint8_t AD7708_Busy()
{
    uint16_t i;
    uint8_t temp;
    temp = 0;
    for(i=0;i<1000;i++)
    {
        if(AD7708_RDY_LOW())
        {
            temp = 1;
            break;
        }
        AD7708_Delay(10);
    }
    return (temp);
}
/*-----------写入8位数据-------------*/
void AD7708_Write8Bit(uint8_t data)
{
    uint8_t i,temp;

    AD7708_CS_LOW();
    AD7708_Delay(5);
    for(i=0;i<8;i++)
    {
        AD7708_Delay(5);
        AD7708_SCLK_LOW();
        AD7708_Delay(10);
        temp = data & 0x80; 
        if(temp)
        {
            AD7708_DIN_HIGH();            
        }
        else
        {
            AD7708_DIN_LOW();    
        }
        AD7708_Delay(20);
        AD7708_SCLK_HIGH();  
        data <<= 1;
        AD7708_Delay(5);
    }
    AD7708_SCLK_HIGH();
    AD7708_DIN_HIGH();
    AD7708_Delay(10);
    AD7708_CS_HIGH();
}
/*---------------读取8位数据--------------------*/
uint8_t AD7708_Read8Bit(void)
{
    uint8_t i,temp=0x00;

    AD7708_CS_LOW();
    AD7708_Delay(5);
    for(i=0;i<8;i++)
    {
        AD7708_Delay(5);
        AD7708_SCLK_LOW();
        AD7708_Delay(30);
        if(AD7708_DOUT_HIGH())
        {
            temp += 0x01;
        } 
        AD7708_Delay(5);      
        AD7708_SCLK_HIGH();
        if(i != 7)
        {
            temp <<= 1;
        }
        AD7708_Delay(5); 
    }
    AD7708_SCLK_HIGH();  
    AD7708_Delay(2);
    AD7708_CS_HIGH();
    return (temp);
}
/*-------------读取16位数据-----------------*/
uint16_t AD7708_Read16Bit(void)
{
    uint8_t i;
    uint16_t temp= 0x0000;

    AD7708_CS_LOW();
    AD7708_Delay(5);
    for(i=0;i<16;i++)
    {
        AD7708_Delay(5);
        AD7708_SCLK_LOW();
        temp = temp << 1;
        AD7708_Delay(30);
        if(AD7708_DOUT_HIGH())
        {
            temp |= 0x01;
        } 
        AD7708_Delay(10);       
        AD7708_SCLK_HIGH();
        AD7708_Delay(5);       
    }
    AD7708_SCLK_HIGH(); 
    AD7708_Delay(2);
    AD7708_CS_HIGH();
    return (temp);
}
/*----------------内部校准------------------*/
void AD7708_Cal(uint8_t channel)
{
    AD7708_Write8Bit(0x02);
    AD7708_Write8Bit((channel<<4)|0x0f); //ADC控制寄存器
    AD7708_Delay(2);

    AD7708_Write8Bit(0x01);       //模式寄存器
    AD7708_Write8Bit(0x04); //内部零标量校准
    AD7708_Busy();
    AD7708_Delay(2);

    AD7708_Write8Bit(0x01);
    AD7708_Write8Bit(0x05);//内部满量程校准
    AD7708_Busy();    //等待校准完成
    AD7708_Delay(2);
}
/*----------------初始化AD7708寄存器---------------*/
void AD7708_Reg_Init(void)
{
    uint8_t i;
    AD7708_Reset();
    AD7708_Delay(10);
    for(i=0;i<8;i++)
    {
        AD7708_Cal(i);//校准
    }
    AD7708_Write8Bit(0x07);//IO寄存器
    AD7708_Write8Bit(0x00);
    AD7708_Delay(2);
    AD7708_Write8Bit(0x03);//滤波寄存器
    AD7708_Write8Bit(0x12);
    AD7708_Delay(2);
    AD7708_Write8Bit(0x02);//ADC控制寄存器-0通道-单极性
    AD7708_Write8Bit(0x0f);
    AD7708_Delay(2);
    AD7708_Write8Bit(0x01);//模式寄存器
    AD7708_Write8Bit(0x02);
    AD7708_Delay(2);
}
/*******************************************************************************************************/
/*-------------读取AD转换数据------------------*/
uint16_t AD7708_ReadAD_Data(uint8_t Channel)
{
    uint16_t temp;
    AD7708_Write8Bit(0x02);//ADC控制寄存器--通道号--单极性
    AD7708_Write8Bit(0x0f|(Channel<<4));
    AD7708_Delay(2);

    AD7708_Write8Bit(0x01);
    AD7708_Write8Bit(0x02);        //启动单次转换
    AD7708_Delay(2);
    AD7708_Busy();           //转换完成

    AD7708_Write8Bit(0x44);      //读
    temp = AD7708_Read16Bit(); //读取转换数据
    

    return (temp);
}


LPC1788-IO模拟SPI--驱动AD7708--寄存器操作相关推荐

  1. MSP430杂谈--AD7793硬件SPI驱动与模拟SPI驱动

    最近项目中用到了AD7793读取铂电阻值来得到相应的温度,编写了基于MSP430的硬件驱动程序和模拟驱动程序,并且能成功运行,现在记录一下分享给大家. AD7793硬件IIC驱动完整版下载链接:htt ...

  2. 2.3 Openwrt 模拟 spi 及其sx1301寄存器读写测试

    本节提示:本节所需环境:ubuntu 16 / 18工具:VScode.Cmake.openwrt 交叉编译器 2.3 Openwrt 模拟 spi 及其sx1301寄存器读写测试 通过前面两章节的操 ...

  3. 外设驱动库开发笔记43:GPIO模拟SPI驱动

      SPI总线是我们常用的串行设备接口,一般情况下我们都会适应硬件SPI接口,但有些时候当硬件端口不足时,我们也希望可以使用软件来模拟SPI硬件接口,特别是要求不是很高的时候.在这一篇中我们将来讨论如 ...

  4. 鸿蒙开发板Hi3861模拟SPI驱动JLX12864_LCD(UC1701X)_基于code-2.0

    鸿蒙开发板驱动晶联讯LCDjlx12864_lcd_hi3861源码-C文档类资源-CSDN下载鸿蒙开发板驱动晶联讯LCDjlx12864_lcd_hi3861源码博文介绍https://t更多下载资 ...

  5. 【全志T113-S3_100ask】15-2 linux系统gpio模拟spi驱动屏幕——ILI9341

    [全志T113-S3_100ask]15-2 linux系统gpio模拟spi驱动屏幕--ILI9341 背景 (一)查阅参考文档 (二)使能内核模块 (三)修改设备树 (四)测试 (五)后语 背景 ...

  6. 鸿蒙开发板Hi3861模拟SPI驱动12864LCD_ST7920_基于code-2.0-CANARY

    鸿蒙开发板Hi3861模拟SPI驱动12864LCD_ST7920源码.rar-C文档类资源-CSDN下载鸿蒙开发板Hi3861模拟SPI驱动12864LCD_ST7920源码.rar博文链接:htt ...

  7. STM8S1003 模拟SPI 驱动 SI4432

    网上关于stm8s1003 驱动si4432的 驱动不是很多 ,我也是弄了大半天才可以和si4432 spi驱动成功. 接下来要做无线收发和组网. 暂时先贴 spi驱动的 spi模拟方式: main. ...

  8. MAX535 单路13位DAC 模拟SPI驱动

    一.MAX535 max535是低功耗.13位.电压输出DAC,采用串行接口和µMAX封装.MAX535通过单个+5V/3.3V电源工作,仅需要280µA电流工作. MAX535/MAX5351适用于 ...

  9. 模拟SPI进行TF卡操作+Fatfs文件系统移植

    FATFS版本:FATFS R0.13b SD卡容量:16G 概述 本文的重点是进行Fatfs文件系统的移植和初步的使用.TF卡的操作实际上是指令操作,即你想它发送固定的CMD指令,它接收到指令给你返 ...

  10. TLV5618 双路12位DAC 模拟SPI驱动

    一.TLV65618芯片 TLV5618A 带掉电功能 2.7V-5.5V,低功耗双路 12 位数模转换器 特点 ●双路 12 位电压输出 DAC ●可编程调节转换时间 - 快速模式 3μs - 低速 ...

最新文章

  1. PIL:python图像处理库的介绍
  2. matlab nurbs闭合曲面,nurbs_toolbox Matlab 非均匀有理B样条函数,能生成B 曲线和曲面 238万源代码下载- www.pudn.com...
  3. 阶段1 语言基础+高级_1-3-Java语言高级_02-继承与多态_第1节 继承_2_继承的格式
  4. ListView position
  5. //todo 的用处
  6. JavaScript 函数节流 throttle 和防抖 debounce
  7. JavaScript学习(三十八)—面向过程与面向对象
  8. c语言创建空顺序表的程序,用C语言编写一个完整的程序,实现顺序表的建立、插入、删除、输出等基本运算。...
  9. 开课吧:适合开发人工智能应用的编程语言有哪些?
  10. redhat6安装git出现的问题
  11. 大学最应该学习的 5 门课, 毕业后大厂 Offer 直接拿到手软!
  12. (二)智能化技术如何赋能能源数字化转型及智慧化应用?
  13. Win7怎么进入注册表(regedit)
  14. 【多目标跟踪论文阅读笔记——JDE(Towards Real-Time Multi-Object Tracking)】
  15. Android 蓝牙
  16. Linux 多线程编程实验知识整理
  17. 大数据技术Hive详解
  18. 根据年月日判断该日是这一年的多少天 C语言
  19. Mysql的安装及客户端使用
  20. devsecops与devops的理解与建设

热门文章

  1. PW2330原厂规格书12V转5V,3.3V稳压电源芯片,1A-3安
  2. Java 工具类 - 身份证工具类 IDCardUtil
  3. 【matlab】皮尔逊相关系数
  4. 建造者模式Builder——读书笔记
  5. FDB Flash Debugger 远程调试页面FLASH
  6. 三相对称分量法详细推导
  7. eyeurl—一款网页批量截图工具
  8. PHP+MySQL实现上传图片的显示
  9. Python查找目录下最大的指定个数的文件和图片
  10. mysql的基本介绍_mysql基本介绍