100MHz分出1Hz的verilog代码

`timescale 1ns / 1ps
//
// Company:
// Engineer:
//
// Create Date: 2020/06/22 11:13:35
// Design Name:
// Module Name: counter_div_100M
// Project Name:
// Target Devices:
// Tool Versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//module counter_div_100M(input clk,output reg cnt);reg[27:0] tmp;
always @(posedge clk)
beginif(tmp>=28'd50000000)begintmp <= 28'd0;cnt <= ~cnt;endelsetmp <= tmp + 1'b1;
end
endmodule

100MHz分出1Hz的verilog代码相关推荐

  1. FPGA学习(第5节)-看电路图写出Verilog代码(乘法运算+自加一+模块实例化等)

    有个前一节的设计规范,现在我们通过看电路图写出对应的Verilog代码. 一.简单模块设计 (1)任务说明 (2)代码实现: 功能分析:输出两数相乘的结果. 图中是一个D触发器和乘法器的组合,可以通过 ...

  2. 根据verilog代码画电路图

    根据verilog代码画电路图 FPGA设计的本质是硬件设计,而且verilog是描述硬件设计的语言(也就是描述电路),一个标准的工程师需要学会建立电路和Verilog对应的关系,学会看到电路图,就能 ...

  3. 数字分频器设计(偶数分频、奇数分频、小数分频、半整数分频、状态机分频|verilog代码|Testbench|仿真结果)

    数字分频器设计 一.前言 二.偶数分频 2.1 触发器级联法 2.2 计数器法 2.3 verilog代码 2.4 Testbench 2.5 仿真结果 三.奇数分频 3.1 占空比非50%奇数分频 ...

  4. 四类九种移位寄存器总结(循环(左、右、双向)移位寄存器、逻辑和算术移位寄存器、串并转换移位寄存器、线性反馈移位寄存器LFSR|verilog代码|Testbench|仿真结果)

    移位寄存器总结 一.前言 二.简单循环左移/右移/双向移位寄存器 2.1 简单循环左移/右移/双向移位寄存器 2.2 verilog代码 2.3 Testbench 2.4 仿真结果 三.逻辑移位与算 ...

  5. 基2-booth乘法器原理及verilog代码

    1.booth乘法器原理 对于一个n位的有符号二进制数B,首位是0则B可以表示为: 首位是1,B[n-2:0]是实际数字的补码,所以可以得到 . 可以得到合并的公式如下所示: 将公式展开: 除了n-1 ...

  6. 七种计数器总结(格雷码计数器、环形计数器、约翰逊计数器、FLSR、简易时分秒数字秒表|verilog代码|Testbench|仿真结果)

    七种计数器总结 一.可复位/置数计数器 1.1 可复位/置数计数器 1.2 Verilog代码 1.3 Testbench 1.4 仿真结果 二.双向(可加可减)计数器 2.1 双向(可加可减)计数器 ...

  7. 手把手带你实现SDRAM控制器(带Verilog代码)

    上篇博客,我们了解了SDRAM的控制命令以及寻址方式,SDRAM芯片需要配合专门的控制电路使用才能发挥功能,这一节我们将一步步分析,使用Verilog搭建一个SDRAM驱动控制器. 目录 学习目标 问 ...

  8. 如何写出优雅的 Golang 代码

    Go 语言是一门简单.易学的编程语言,对于有编程背景的工程师来说,学习 Go 语言并写出能够运行的代码并不是一件困难的事情,对于之前有过其他语言经验的开发者来说,写什么语言都像自己学过的语言其实是有问 ...

  9. Verilog代码规范I

    Verilog代码规范I "规范"这问题 "规范"这个富含专业气息的词汇(个人感觉),其实规范这种东西,就是大家都约定熟成的东西,一旦你不遵守这个东西,专业人士 ...

最新文章

  1. java程序运行结果题_(Java程序设计)试题
  2. 独家 | 准备数据时如何避免数据泄漏
  3. golang panic和recover 捕获异常
  4. Redis cluster日常管理【一】
  5. Firefox 有 6 成用户仍使用 Add-On 扩展
  6. 外网访问XAMPP失败 解决方案
  7. ExpressionChangedAfterItHasBeenCheckedError - Expression has changed after it was checked
  8. MySQL 常用30种SQL查询语句优化方法
  9. 如何改变XCode的默认设置
  10. 程序员辞职的7个常用理由,你用的是哪一个?
  11. Linux记录-TCP状态以及(TIME_WAIT/CLOSE_WAIT)分析(转载)
  12. android 开源 高斯模糊_Android图像处理 - 高斯模糊的原理及实现
  13. OSChina 周日乱弹 —— 七哥的北漂日记
  14. mysql小鲸鱼_杀死一只小鲸鱼
  15. php下标排序,php二维数组指定下标排序
  16. 南京理工大学计算机专业考研,2020南京理工大学计算机考研初试科目、参考书目、复试详情汇总...
  17. Spring Boot 启动流程
  18. 开启MyBatis(二)创建工程
  19. snapgene怎么比对序列_找不到相似序列?快来Blast一下!
  20. pp什么叫php的事务,ThinkPHP5.0框架事务处理操作简单示例

热门文章

  1. java组合框单位换算_Visual C++开发实战1200例(第Ⅰ卷)
  2. 可转债新规内容及影响
  3. java散点世界地图,踩坑ECharts(GL)地理位置散点图
  4. 小程序插件——开发者开发神器
  5. 网页制作精华代码大全
  6. 概率统计Python计算:单个正态总体均值双侧假设的卡方检验
  7. 帮我用php制作一个可以使用的论坛
  8. 凸优化学习:PART3凸优化问题(持续更新)
  9. transform video to frames/提取视频中的每一帧
  10. mysql rank函数_Sql 四大排名函数(ROW_NUMBER、RANK、DENSE_RANK、NTILE)简介