wait语句

wait语句是一种不可综合的电平触发事件控制语句,有如下两种形式:

wait(条件表达式) 语句/语句块;
wait(条件表达式);
对于第一种形式,语句块可以是串行块(begin…end)或并行块(fork…join)。当逻辑表达式为“真”时,语句块立即得到执行;否则,暂停进程并等待,直到逻辑表达式变为“真”,再开始执行。

对于第二种形式,当仿真执行到wait语句时,如果条件表达式为真,那么立即结束该语句的执行,仿真程序继续往下执行;否则,仿真程序进入等待状态,直到条件表达式为真。
--------------------- 
作者:formerman 
来源:CSDN 
原文:https://blog.csdn.net/formerman/article/details/4548302 
版权声明:本文为博主原创文章,转载请附上博文链接!

Verilog wait语句相关推荐

  1. FPGA之道(74)Verilog生成语句

    文章目录 前言 Verilog生成语句 循环生成 条件生成 generate-if语句 generate-case语句 前言 为什么要把这一节单独拎出来,因为个人原因,平时觉得用的Verilog生成语 ...

  2. (111)FPGA面试题-介绍Verilog 块语句fork-join执行过程

    1.1 FPGA面试题-介绍Verilog 块语句fork-join执行过程 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)FPGA面试题-介绍Verilog 块语句f ...

  3. (110)FPGA面试题-介绍Verilog块语句begin-end执行过程

    1.1 FPGA面试题-介绍Verilog块语句begin-end执行过程 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)FPGA面试题-介绍Verilog块语句beg ...

  4. Verilog inout语句使用方法及技巧

    1.1 Verilog inout语句使用方法及技巧 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)Verilog inout语句使用方法及技巧: 5)结束语. 1.1 ...

  5. Verilog语言语句介绍

    Verilog语言语句介绍 结构语句 initial语句 always语句 赋值语句 阻塞赋值 非阻塞赋值 使用方法 条件语句 if_else语句 case语句 结构语句 共有两个重要的结构语句 in ...

  6. Verilog disable语句

    如何中止任务或代码块的执行? Verilog disable语句能够中止任务或代码块. 通常在以下场景中会使用disable语句: 1.在循环中执行"break"命令,跳过循环迭代 ...

  7. verilog case语句_浅谈Design Compiler -- Verilog语言结构到门级的映射

    昨天的文章中,我们了解到Design Compiler(DC)作为Synopsys公司开发的一款用于电路综合的EDA工具,在全球数字电路市场去得了巨大的成功,它的设计初衷是将用Verilog HDL语 ...

  8. FPGA学习笔记---Verilog延迟语句分析比较

    在Verilog语言中经常要用到延时语句,延时语句添加的位置不同,输出的结果就会不同.今天就来分析比较一下延时语句在不同位置时,对赋值语句的影响. 一.阻塞式左延时赋值 文件代码: `timescal ...

  9. 编程语言——Verilog基本语句

    基本语法 过程语句 赋值语句 条件语句 循环语句 任务与函数 过程语句 在一个模块内部可以有任意多个initial语句和always语句,两者都是从仿真的起始时 刻开始执行的, 但是initial语句 ...

  10. 7.Verilog 条件语句的应用

    FPGA教程目录 MATLAB教程目录 -------------------------------------------------------------------------------- ...

最新文章

  1. 微软发起Java on Azure调查,呼吁Java社区积极参与
  2. 【分享】Vue 资源典藏(UI组件、开发框架、服务端、辅助工具、应用实例、Demo示例)...
  3. shell 命令 链接文件 ln
  4. 互联网晚报 | 11月20日 星期六 | 阿里云单季营收首次超200亿;淘特年度活跃用户超2.4亿;首届中国网络文明大会在京召开...
  5. Nacos Spring Boot 快速开始
  6. nginx 日志格式设置 和 负载均衡下 获取真实ip
  7. centos6.5lamp环境搭建
  8. python工资这么高为什么不学-人人学Python,为什么就业拿高薪的那么少?
  9. [C#][DevPress]手动添加控件中的子控件或者结构
  10. matlab单服务排队模型,MATLAB模拟银行单服务台排队模型
  11. 使用mybatis的分页插件和Thymeleaf实现分页效果
  12. colorsys模块(RGB/HSV/HSL/YIQ)颜色模型简介
  13. PS 制作毛玻璃效果
  14. 一个简单的考勤签到程序
  15. shepp logan matlab,怎么用MATLAB生成一个三维的Shepp-Logan头骨模型并保存下来,谢谢了...
  16. iOS - Xcode提高编译速度,增量编译,ccache
  17. 【无标题】三. 流程控制
  18. scrapy爬取豆瓣电影信息
  19. idea ctrl+alt+向左箭头不能用
  20. [附源码]java毕业设计超市收银系统

热门文章

  1. cartographer 3d建图过程和配置重点(lidar+imu)
  2. halcon 多车牌识别
  3. outlier detection- part2
  4. 一对夫妻对张磊捐款的看法
  5. Android开发学习手记:从零开始学习android开发(二):尝试上手第一个App:HelloWorld
  6. PTA练习 05集合框架
  7. python 从方差/协方差到协方差矩阵
  8. 数字经济助力企业高新技术企业发展,UMS攸信同力驱动系统层级建设!
  9. volatile变量与原子变量的差异
  10. Cell Image Segmentation using Generative Adversarial Networks, Transfer Learning, and Augmentations