1.关于冗余度

uvm中冗余度设置主要由以下几种:

UVM_NONE(表示uvm设置冗余度为0单位);
UVM_LOW(表示uvm设置冗余度为100单位);
UVM_MEDIUM(表示uvm设置冗余度为200单位);
UVM_HIGH(表示uvm设置冗余度为300单位);
UVM_FULL(表示uvm设置冗余度为400单位);
UVM_DEBUG(表示uvm设置冗余度为500单位);

冗余度越高,表示信息越不重要(冗余冗余,越高越多余)。UVM中默认设置的打印冗余度为UVM_MEDIUM,假如在`uvm_info中设置冗余度为UVM_LOW(或者UVM_MEDIUM),则消息会打印;如果为UVM_HIGH(或者UVM_FULL或者UVM_DEBUG)则不会打印。

2.关于冗余度的设置

假如在test层中设置driver的打印信息冗余度:

class test1 extends uvm_test;
...
virtual function void connect_phase(uvm_phase phase);
env1.agent1.driver1.set_report_verbosity_level(UVM_HIGH);
...
endfunction
...
endclass

通过set_report_verbosity_level将driver1的打印信息冗余度设置成了UVM_HIGH(只有UVM_LOW和UVM_NONE会打印)。
这里的设置driver1跨越了层次,所以只能在connect_phase及以后的phase中设置;如果只是设置当前层次的component,则可以在connect_phase之前设置。
(个人理解:即如果设置driver,或者monitor,sequencer之类,只能在uvm_phase及以后的phase,如果是env,则可以在connect_phase之前。不过用在connect_phase里准没错应该。)

除了单独设置某一component的冗余度,还可以递归设置某一component及其以下所有component的冗余度。
比如:

...
env1.agent1.set_report_verbosity_level_hier(UVM_HIGH);
...

上述代码会将agent中以及agent以下所有component的打印冗余度设置为UVM_HIGH。

3.关于重载打印信息严重性

uvm中信息严重性有四种:UVM_INFO, UVM_ERROR, UVM_WARNING, UVM_FATAL。

常用的两种重载打印信息严重性:

...
env1.agent1.set_report_severity_override(UVM_ERROR,UVM_WARNING);

上述代码会将agent1中所有本来显示UVM_ERROR的信息替换为UVM_WARNING。

class agent extends uvm_agent;
...
endclassclass env extends uvm_env;
agent agent1,agent2;
...
endclassclass test extends uvm_test;
env env1;
...
virtual function void connect_phase(uvm_phase phase);
env1.agent1.set_report_severity_id_override(UVM_WARNING,"agent",UVM_ERROR);
env1.agent2.set_report_severity_id_override(UVM_ERROR,"agent",UVM_WARNING);
...
endclass

上述代码会将agent1中的UVM_WARNING替换成UVM_ERROR,agent2中的UVM_ERROR替换成UVM_WARNING。
注意,重载打印严重性不支持递归。

uvm打印信息冗余度和重载打印信息相关推荐

  1. UVM中设置打印信息的冗余度阈值和重载打印信息的严重性

    设置打印信息的冗余度阈值 UVM通过冗余度级别的设置提高了仿真日志的可读性.在打印信息之前,UVM会比较要显示信息的冗余度级别与默认的冗余度阈值,如果小于等于阈值,就会显示,否则不会显示.默认的冗余度 ...

  2. python顺时针打印矩阵_python实现顺时针打印矩阵

    面试题之顺时针打印矩阵,Python实现,供大家参考,具体内容如下 问题描述: 输入一个矩阵,按照从外向里以顺时针的顺序依次打印出每一个数字,例如,输入如下矩阵: 则依次打印出数字1,2,3,4,8, ...

  3. 空白世界地图打印版_考研准考证打印什么时候_中国研究生招生信息网官网

    考研准考证打印什么时候_中国研究生招生信息网官网由广东研究生考试网考试快讯栏目由提供,更多关于考研准考证打印入口,广东研究生考试快讯的内容,请关注广东研究生考试频道/广东人事考试网! 2021考研人注 ...

  4. 计算机上发出打印命令,从计算机上发送打印命令后打印机不打印怎么办

    Windows系统中发送打印命令后,打印机不打印,同时系统也没有错误信息,如何处理? 一. 现象描述 从计算机上发送打印命令后,打印机不打印,也没有出错信息提示. 二. 原因分析: 1. 打印机没有被 ...

  5. jquery打印html页面自动分页,jQuery打印指定区域Html页面并自动分页

    最近项目中需要用到打印HTML页面,需要指定区域打印,使用jquery.PrintArea.js 插件 用法: $("div#printmain").printArea(); 但还 ...

  6. linux打印函数名,linux kernel 打印函数指针对应的函数名方法

    linux kernel 打印函数指针对应的函数名方法 内核中函数指针用的很多,在debug 的时候能直接打印出一个函数指针对应的函数就会很方便. 打印裸指针(raw pointer)用 %p,%p除 ...

  7. window.print() 文字过多会打印不全_明天开始打印准考证,你需要注意这些!

    准考证打印时间&登录网址 准考证下载时间:2019年12月14日-23日 唯一下载网址:中国研究生招生信息网(https://yz.chsi.com.cn/) 视频版打印流程 准考证打印超全流 ...

  8. java lodop打印_[Java教程]LODOP打印插件

    [Java教程]LODOP打印插件 0 2016-03-15 10:00:06 HTML代码(请先下载对应LODOP插件安装)  -    打印onclike事件CreatePrintPage()打印 ...

  9. java怎么打印对象内容_如何打印对象内容

    1. 一个最基本的例子 使用Logging框架写Log基本上就三个步骤 引入loggerg类和logger工厂类 声明logger 记录日志 下面看一个例子 //1. 引入slf4j接口的Logger ...

最新文章

  1. 如何利用SOM网络进行柴油机故障诊断
  2. LeetCode: Convert Sorted Array to Binary Search Tree 解题报告
  3. Lucene索引库的维护功能实现
  4. 小余学调度:学习记录(2022.4)
  5. php插不入数据原因,php程序插数据入mysql, 插入失败问题
  6. jdk入门_JDK 9 REPL:入门
  7. 论文浅尝 | 基于知识图谱的智能调研方法(DI佳作)
  8. 指令汇B新闻客户端开发(四) 自动轮播条
  9. C++之父访谈录:我也没想到 C ++ 会这么成功!
  10. kodi pvr 不能安装_Kodi看电视直播教程 安装PVR IPTV Simple Client播放m3u8直播源
  11. DevExpress ChartControl 实现多轴
  12. python毕业设计作品基于django框架外卖点餐系统毕设成品(7)中期检查报告
  13. 1、mac:jdk 8下载和安装步骤
  14. 利用STM32PWM占空比实现呼吸灯
  15. 计算机联锁控制系统的软件应具备信号操作功能,N6_计算机联锁控制系统原理-软件原理.ppt...
  16. 游戏中的角色是如何“动”起来的?
  17. pmp知识点(9)-项目资源管理
  18. 算法笔记胡凡 第3章 入门篇
  19. MySQL——初识MySQL
  20. 计算机组成原理 第四版 总线的主模块 总线的从模块,总线的基本概念

热门文章

  1. 【C语言】C语言实现中文字符(句号,感叹号,问号)的十进制数值
  2. Ecshop文件结构,二次开发
  3. 写毕业论文从哪里找资料?这3个论文网站必备!
  4. 试论软件的可靠性及其保证
  5. 产品管理——怎样做产品规划
  6. 省钱利器 0 成本创业 高佣联盟APP
  7. 【史上最全】月薪3万的程序员都在用这些实用工具
  8. 中国计算机学科建设,CCF杭州浙婺信息大讲堂:计算机学科建设与学术前沿
  9. .sbt文件的配置详解
  10. 闲鱼卖家近期卖出后纠纷4次,请谨慎交易怎么办?