目录

1.算法描述

2.仿真效果预览

3.MATLAB核心程序

4.完整MATLAB


1.算法描述

64QAM(正交幅度调制),在使用同轴电缆的网络中,这种数字频率调制技术通常用于发送下行链路数据。64QAM在6mhz信道中,64QAM的传输速率非常高,最多可支持38.015mbps的峰值传输速率。然而,它对干扰信号很敏感,难以适应嘈杂的上行链路传输(从电缆用户到互联网)。参见QPSK、DQPSK、CDMA、S-CDMA、BPSK和VSB。

它具有调制效率高、对传输路径的信噪比要求高、带宽利用率高的特点,适合有线电视传输;QAM(DVB-C调制)在中国有线电视网络中得到广泛应用。QAM是一种联合幅度和相位调制技术。它利用载波的幅度和相位同时传输信息位。不同的幅度和相位表示不同的编码符号。因此,在相同的最小距离条件下,QAM星座可以容纳更多的星座点,以实现更高的频带利用率。

载波同步又称载波恢复(carrierrestoration),即在接收设备中产生一个和接收信号的载波同频同相的本地振荡(localoscillation),供给解调器作相干解调用。当接收信号中包含离散的载频分量时,在接收端需要从信号中分离出信号载波作为本地相干载波;这样分离出的本地相干载波频率必然与接收信号载波频率相同,但为了使相位也相同,可能需要对分离出的载波相位作适当的调整。若接收信号中没有离散载波分量,例如在2PSK信号中(“1” 和“0”以等概率出现时),则接收端需要用较复杂的方法从信号中提取载波。因此,在这些接收设备中需要有载波同步电路,以提供相干解调所需要的相干载波;相干载波必须与接收信号的载波严格地同频同相。

本系统几个设计要点:

第一:环路滤波系数的分段更新,实现频率跟踪效果的稳定。当锁相环开始工作的时候,首先由一组较大的环路滤波系数实现频率的快速跟踪,然后由一组较小的环路系数,实现频率的稳定跟踪。

第二:环路平滑处理。

第三:同时进行鉴频和鉴相

上面两个是性能上的改进,然后针对FPGA的设计,我们采用如下的改进设计构架。

第一:基于流水线的设计流程,使得载波同步算法能够以流的形式进行反馈工作;

第二:基于Cordic算法的NCO产生对应的SIN和COS。

2.仿真效果预览

算法仿真:MATLAB 2022a

FPGA设计:quartusii13.0

FPGA仿真:ModelSim-Altera 6.6d

3.MATLAB核心程序

`timescale 1ns / 1ps
module tops(i_clk,i_rst,o_signal,o_I_map,o_Q_map,o_sin,o_cos,o_64QAM_real,o_64QAM_imag,o_cosfai,o_sinfai,o_I_PLL,o_Q_PLL,o_discriminator,o_nco,o_nco_smooth,o_fre);input              i_clk;
input              i_rst;
output       [5:0] o_signal;
output signed[3:0] o_I_map;
output signed[3:0] o_Q_map;
output signed[11:0]o_sin;
output signed[11:0]o_cos;
output signed[15:0]o_64QAM_real;
output signed[15:0]o_64QAM_imag; output signed[15:0]o_cosfai;
output signed[15:0]o_sinfai;
output signed[15:0]o_I_PLL;
output signed[15:0]o_Q_PLL;output signed[15:0]o_discriminator;
output signed[23:0]o_nco;
output signed[23:0]o_nco_smooth;
output signed[23:0]o_fre;
signal signal_u(.i_clk    (i_clk),.i_rst    (i_rst),.o_signal (o_signal));//64QAM mod
mod_64QAM_tops mod_64QAM_tops_u(.i_clk      (i_clk),.i_rst      (i_rst),.i_signal   (o_signal),.o_I_map    (o_I_map),.o_Q_map    (o_Q_map),.o_sin      (o_sin),.o_cos      (o_cos),.o_QAM_real (o_64QAM_real),.o_QAM_imag (o_64QAM_imag));               //64QAM demod
demod_64QAM_tops demod_64QAM_tops_u(.i_clk           (i_clk),.i_rst           (i_rst),.i_Qam_real      (o_64QAM_real),.i_Qam_imag      (o_64QAM_imag),.o_cosfai        (o_cosfai),.o_sinfai        (o_sinfai),.o_I_PLL         (o_I_PLL),.o_Q_PLL         (o_Q_PLL),.o_discriminator (o_discriminator),.o_nco           (o_nco),.o_nco_smooth    (o_nco_smooth),.o_fre           (o_fre));  endmodule
01-124m

4.完整MATLAB

V

m基于FPGA的64QAM调制解调、载波同步verilog实现相关推荐

  1. m基于FPGA的MSK调制解调系统verilog开发,并带FPGA误码检测模块和matlab仿真程序

    目录 1.算法描述 2.仿真效果预览 3.Verilog核心程序 4.完整FPGA 1.算法描述 整个模型的基本框图为 软件无线电是现代通信技术的重要研究领域和发展方向,目前发展迅速.快速发展的软件无 ...

  2. 基于matlab的BOC调制解调的同步性能仿真,输出跟踪曲线以及不同超前滞后码距下的鉴别曲线

    目录 1.算法描述 2.仿真效果预览 3.MATLAB部分代码预览 4.完整MATLAB程序 1.算法描述 BOC-Binary Offset Carrier,也叫二进制偏置载波调制,是在Galile ...

  3. 基于锁相环的调制解调仿真实现

    基于锁相环的调制解调仿真实现 论文+代码+实验结果下载地址:下载地址 摘要 随着现代集成电路技术的发展,锁相环已经成为集成电路设计中非常重要的一个部分,所以对锁相环的研究具有积极的现实意义.锁相环电路 ...

  4. 脉位调制解调 matlab,基于matlab的am调制解调

    基于matlab的am调制解调 基于 Matlab 的 AM 调制解调一.AM 的调制原理AM 是指对信号进行幅度调制 [2].一般做法是先在原信号上叠加一个直流信号,以保证信号 , 然后乘上一个高频 ...

  5. matlab实现ofdm调制解调,基于MATLAB的OFDM调制解调仿真

    基于MATLAB的OFDM调制解调仿真 题目:基于 MATLAB的 OFDM调制解调仿真2009年 6 月 13 日目 录摘要 .IIAbstract IV第 1章 正交频分复用的来源 - 1 -1. ...

  6. matlab simlink fm调制,基于MATLABSIMULINK的FM调制解调

    基于MATLABSIMULINK的FM调制解调 1 FM调制与解调原理 1.1模拟通信系统的简介 通信的目的是传输信息.通信系统的作用就是将信息从信息源发送到一个或多个目的地.通信系统对信号进行两种基 ...

  7. am解调matlab程序,基于Matlab的AM调制解调.doc

    学号20100602050101 密级 公 开 本科毕业论文 基于Matlab的AM调制解调 及抗噪性能分析 学 院 名 称: 专 业 名 称: 学 生 姓 名: 指 导 教 师: 讲师 二〇一四年五 ...

  8. msk 频偏_基于MSP430的MSK调制解调实现.doc

    PAGE 哈尔滨工程大学本科生毕业论文 基于MSP430的MSK调制解调实现 院 (系):信通学院 专 业:电子信息工程 学 号学 生 姓 名:杜兆龙 指 导 教 师:罗天放 副教授 2008年6月 ...

  9. 基于simulink的FM调制解调系统仿真

    目录 1.算法描述 2.仿真效果预览 3.MATLAB核心程序 4.完整MATLAB 1.算法描述 基于simulink的FM调制解调系统仿真 2.仿真效果预览 matlab2022a仿真结果如下: ...

最新文章

  1. python支持什么操作方式_python模拟点击常用的操作方法有哪些?
  2. 北邮计算机学不学单片机,北邮小学期PC单片机.docx
  3. 在ASP.net 中对GridView中数据的删除、编辑等操作:
  4. mysql 去掉默认约束_06. 默认约束-创建、添加和删除
  5. IP过滤-驱动和应用程序通信
  6. 现代软件工程课件 需求分析 如何提出靠谱的项目建议 NABCD
  7. java环境变量都是什么意思_Java环境变量,真的还有必要配吗?
  8. Java实现将二进制文件显示为图片(SU中的ximage)
  9. Linux 命令(32)—— grep 命令
  10. MVC5发展历程,从MVC2谈起
  11. 约瑟夫环问题:猴子选大王
  12. Libnet核心数据结构
  13. python字符串创建_python独特的字符串创建
  14. idea 右键修复lint格式问题
  15. UWP 全屏与退出全屏
  16. HBase rowKey 设计技巧
  17. 如何控制退款率和提高复购率的技巧(纯干货分享)
  18. Codeforces Gym - 101341A Streets of Working Lanterns - 2 [贪心]
  19. Nginx配之负载均衡、缓存、黑名单和灰度发布
  20. 关于htons和htonl

热门文章

  1. 视角FOV测试—imatest
  2. PeckShield&星球日报|2019区块链安全年报
  3. Mecanim动画系统 - 在角色上使用Mask 叠加动画层
  4. 【PPP协议是哪一层协议?】
  5. 1082. 射击比赛 (20)
  6. 2 Keys Keyboard 只有两个键的键盘
  7. 查看windows中WLAN配置文件、无线相关
  8. 京都计算机学院放假时间表,放假通知来了
  9. UVa 10235 - Simply Emirp
  10. 必应发狂了! LeCun马库斯齐喷ChatGPT:大语言模型果然是邪路?