本次型号M11.安装WiringNP
git clone https://github.com/friendlyarm/WiringNP
cd WiringNP/
chmod 755 build
./build

2. 编译成功后执行测试 gpio readall

root@FriendlyARM:~# gpio readall+-----+-----+----------+------+---+-NanoPi NEO/NEO2--+------+----------+-----+-----+| BCM | wPi |   Name   | Mode | V | Physical | V | Mode | Name     | wPi | BCM |+-----+-----+----------+------+---+----++----+---+------+----------+-----+-----+|     |     |     3.3V |      |   |  1 || 2  |   |      | 5V       |     |     ||  12 |   8 |  GPIOA12 |  OFF | 0 |  3 || 4  |   |      | 5V       |     |     ||  11 |   9 |  GPIOA11 |  OFF | 0 |  5 || 6  |   |      | 0v       |     |     || 203 |   7 |  GPIOG11 |  OUT | 1 |  7 || 8  | 0 | OFF  | GPIOG6   | 15  | 198 ||     |     |       0v |      |   |  9 || 10 | 0 | OFF  | GPIOG7   | 16  | 199 ||   0 |   0 |   GPIOA0 |  OFF | 0 | 11 || 12 | 0 | OFF  | GPIOA6   | 1   | 6   ||   2 |   2 |   GPIOA2 |  OFF | 0 | 13 || 14 |   |      | 0v       |     |     ||   3 |   3 |   GPIOA3 |  OFF | 0 | 15 || 16 | 0 | OFF  | GPIOG8   | 4   | 200 ||     |     |     3.3v |      |   | 17 || 18 | 0 | OFF  | GPIOG9   | 5   | 201 ||  64 |  12 |   GPIOC0 |  OFF | 0 | 19 || 20 |   |      | 0v       |     |     ||  65 |  13 |   GPIOC1 |  OFF | 0 | 21 || 22 | 0 | OFF  | GPIOA1   | 6   | 1   ||  66 |  14 |   GPIOC2 |  OFF | 0 | 23 || 24 | 0 | OFF  | GPIOC3   | 10  | 67  |+-----+-----+----------+------+---+----++----+---+------+----------+-----+-----+| BCM | wPi |   Name   | Mode | V | Physical | V | Mode | Name     | wPi | BCM |+-----+-----+----------+------+---+-NanoPi NEO/NEO2--+------+----------+-----+-----++-----+----NanoPi NEO/NEO2 Debug UART-+----+| BCM | wPi |   Name   | Mode | V | Ph |+-----+-----+----------+------+---+----+|   4 |  17 |   GPIOA4 | ALT5 | 0 | 37 ||   5 |  18 |   GPIOA5 | ALT4 | 0 | 38 |+-----+-----+----------+------+---+----+

3.将LED灯连接2管脚与7管脚

4.创建test.c文件

vi test.c

输入以下代码:

#include <wiringPi.h>
int main(void)
{wiringPiSetup() ;pinMode (7, OUTPUT) ;for(;;){digitalWrite(7, HIGH) ;delay (500) ;digitalWrite(7,  LOW) ;delay (500) ;}
}

5.编译

gcc -Wall -o test test.c -lwiringPi -lpthread
编译成功后生成test文件

6.运行程序

sudo ./test

7.运行之后观察LED灯,每隔500ms变换一次状态

如果没LED可使用 watch -n 0.1 gpio readall 监控GPIO口状态

香橙派 orangepi 点灯大法,操作gpio 点亮LED灯相关推荐

  1. android客户端控制树莓派GPIO点亮LED灯

    首先需要android客户端与树莓派进行连接,树莓派与android客户端利用wifi连接并进行socket通信请参考我的另一片文章:https://mp.csdn.net/postedit/7991 ...

  2. GPIO点亮led灯(使用HAL库),proteus电源设置,proteus仿真

    使用CubeMX点亮(闪烁)LED灯 首先.使用CubeMX创建工程文件 打开uvprojx文件,找到Application/User/Core 双击打开main.c,在int main中输入以下程序 ...

  3. 香橙派orangepi查看 GPIO当前状态方法: 安装wiringOP

    树莓派orangepi查看GPIO当前状态 方法:安装GPIO库接口wiringpid,而香橙派orangepi跟树莓派的方法不一样,是用wiringOP, 而wiringOP是从wiringpi改造 ...

  4. 香橙派orangepi pc plus h3 uboot保存环境变量失败解决——Unable to use mmc 1:1... Failed (1)

    前言 环境介绍: 1.编译环境 Ubuntu 18.04.5 LTS 2.SDK orangepi Linux 5.4 SDK 3.uboot v2020.04 一.现象 根据<OrangePi ...

  5. STM8S自学笔记-003 GPIO输出:点亮LED灯 and 跑马灯特效

    STM8S自学笔记-003 GPIO输出:点亮LED灯 and 跑马灯特效 点亮LED GPIO初始化函数:GPIO_Init() GPIO电平操作库函数:GPIO_WriteHigh().GPIO_ ...

  6. 实例2:树莓派GPIO控制外部LED灯闪烁

    实例2:树莓派GPIO控制外部LED灯闪烁 实验目的 通过背景知识学习,了解四足机器人mini pupper搭载的微型控制计算机:树莓派. 通过树莓派GPIO操作的学习,熟悉GPIO的读写控制. 通过 ...

  7. 61-20210407华为海思Hi3516DV300的linux系统下控制GPIO口(标准linux模式点亮LED灯)

    61-20210407华为海思Hi3516DV300的linux系统下控制GPIO口(标准linux模式点亮LED灯) 2021/4/7 15:13 https://xueqiu.com/797071 ...

  8. 配置STM32寄存器控制GPIO点亮LED

    STM32点亮LED 寄存器方式 IO简介 1.每个IO可以自由编程,但是IO口寄存器必须按照32位字被访问. 2.每个IO端口都有7个寄存器来控制. CRL [0-7]端口配置寄存器  32位 CR ...

  9. c# .net iot+香橙派orangepi开发板 200多块打造自家远程 浇花助手 系统

    由于出差多,这里的花经常过一段时间才能浇水,有些花都旱晕了,所以想做一个助手帮我远程就可以操作浇花,当然也完全可以发展为一个商用系统,拿浇花来做为一个测试平台吧,现在已经完成了,并已经运行了一个多月 ...

最新文章

  1. MOBA项目问题记录
  2. Securing the Deep Learning Stack
  3. 计算机视觉与深度学习 | 基于Matlab提取SIFT特征点(源代码)
  4. 化工热力学重修补考第三章重点内容
  5. 解决 JSP 页面报错 equal symbol expected
  6. 牛客14605 画三角
  7. 基于Node.js + WebSocket 的简易聊天室
  8. ES7 设置磁盘使用率水位线 allocation.disk.watermark
  9. el-input输入值无法在输入框显示
  10. 征服RIA:元编程的思想
  11. DG导入mysql依赖包_mysql 命令行快速导出数据,导入数据
  12. 微信小程序底部导航栏tabBar及不显示问题解决记录
  13. 网站服务器的宽带是1mb是什么意思,宽带网速小知识:1Mbps,1Mb/s,1MB/s是什么意思?...
  14. 巨准SCRM私域案例拆解丨看看WonderLab如何霸屏朋友圈
  15. 【CDN加速】项目前端性能优化之开启CDN加速
  16. python fields_Python fields.Nested方法代码示例
  17. matlab里qmul,哈工大四系导航原理 大作业 INS部分
  18. 操作系统【用户接口】命令解释程序的主要功能、系统调用与一般过程调用的不同之处、系统调用的参数传递方式、系统调用的处理步骤
  19. DirectX学习笔记(十五):粒子系统实现
  20. 国内外深度学习开放数据集下载集合(值得收藏,不断更新)

热门文章

  1. 分享计算机专业学习路线(转)
  2. 浅析MySQL多次查询和关联查询的效率问题
  3. git版本管理常见操作命令,版本重置reset
  4. 产品设计中的静电(ESD)防护
  5. 软件工程2017第一次作业——随笔汇总
  6. python sleep函数什么意思_Python sleep()函数用法:线程睡眠
  7. 微信公众号热门关键词的搜索排名规则
  8. 《惢客创业日记》2019.03.28(周四)关于“鸡肋”的产品思维
  9. 【实战篇】轻松用 Python 进行报表自动化
  10. 用 flomo 管理自己的奇思妙想瀑布流