.PHONY的作用
https://www.cnblogs.com/yangjiquan/p/11475638.html

https://zhuanlan.zhihu.com/p/81305878
makelist 示例

.PHONY:com cov clean debugOUTPUT = cpu_top#compile command
VCS = vcs -sverilog +v2k -timescale=1ns/1ns \-debug_all              \+notimingcheck                \+nospecify                          \+vcs+flush+all                \-o ${OUTPUT}                        \-l compile.log                      \#simulation command
SIM = ./${OUTPUT} -l ${OUTPUT}.log#start compile
com:${VCS} -f file_list.f#start simulation
sim:${SIM}#show the coverage
cov:dve -covdir *vdb &#start debug commnd
debug:dve -vpd ${output}.vpd &#clean some file
clean:rm -rf ./csrc  *.daidir ./csrc *.log *.vpd *.vdb simv* *.key *race.out*

file_list.f文件内容如下:

-timescale=1ns/1ns//Macro define
//+define+INC_COUNTER//Source file
../rtl/cpu_top.v
../rtl/rom.v
../rtl/ram.v//Testbench
../test_bench/cpu_top_tb.v

编译时在terminal中输入:make com
仿真时输入: make sim
清理文件时输入:make clean

参考
VCS查看代码覆盖率
makeflie脚本
VCS查看覆盖率,并使用dve查看

VCS-Makefile相关推荐

  1. VCS makefile文件

    SYNOPSYS VCS Makefile文件编写与研究 这个Makefile是synopsys提供的模板,看上去非常好用,你只要按部就班提供实际项目的参数就可以了.我们来看这个文件的头部说明:  m ...

  2. SYNOPSYS VCS Makefile文件编写与研究

    SYNOPSYS VCS Makefile文件编写与研究 这个Makefile是synopsys提供的模板,看上去非常好用,你只要按部就班提供实际项目的参数就可以了.我们来看这个文件的头部说明: ma ...

  3. vcs makefile

    第一版 vcs: vcs add.v fa.v add_tb.v -full64 -cc gcc-4.8 -LDFLAGS -Wl,–no-as-needed -debug_all +v2k clea ...

  4. 【sv与c】sv与c交互

    网上此类文章很多,这里暂时不放具体实现和测试结果,后续持续更新 下面引用一些帖子,帖子中涉及到具体做法 vcs联合编译v/sv/c++代码_sxlwzl的专栏-CSDN博客1,假设有三个top.svd ...

  5. 逻辑仿真工具VCS的使用-Makefile

    上一次讲了Gvim的基本操作,今天来讲讲用Gvim写出一个RTL codes后怎么用VCS仿真,还会结合Makefile做文件的自动化处理.之后还会出DC的使用教程,如果能完成这么一套流程的话,写在简 ...

  6. VCS使用Makefile教程

    在从事IC验证工作的过程中,其实最开始的一步不是写什么test plan或者说verification of structure,而是应该知道makefile怎么写,先写出一个通用,基础的编译仿真脚本 ...

  7. 一个简单的makefile编写VCS仿真

    一个简单的makefile编写VCS仿真 1 VCS简介 VCS是编译型Verilog模拟器,它完全支持OVI标准的Verilog HDL语言.PLI和SDF. VCS具有行业中较高的模拟性能,其出色 ...

  8. Makefile 脚本运行VCS仿真

    LINUX学习笔记 Makefile 脚本运行VCS仿真 1. 文件 2. Makefile 脚本 3. 命令 1. 文件 tb.list: tesetbech文件目录 rtl.list:rtl代码文 ...

  9. 使用makefile启动vcs, verdi 进行仿真

    首先输入dve和verdi看能不能跳出图形界面, 如果能跳出就是装好了 代码下载 https://download.csdn.net/download/howard789/18802853 setup ...

  10. Makefile脚本启动VCS+Verdi

    文章目录 一.快速入门 二.Makefile的语法 1.语法格式 2.命令执行 3.变量 三.VCS仿真 1.VCS常用命令 2.Makefile实现自动化仿真 四.VCS+Verdi的使用 1.编译 ...

最新文章

  1. BZOJ 2137 submultiple(约数,拉格朗日插值求自然数k次幂和)【BZOJ 修复工程】
  2. Linux(Centos)快速搭建SVN服务器
  3. MPU6050开发 -- 初识
  4. linux fedora35 zsh oh-my-zsh 的配置与使用
  5. 知道的越多,越感觉自己渺小
  6. TIOBE 11 月榜单:C、Swift、Go、D 与 Rust 起起伏伏
  7. 记录一次 自建网盘程序 cloudreve被攻击
  8. 桌面被恶意软件放上几个ie图标删除不了怎么办?
  9. 最新版2017安装教程
  10. spring中创建excl表的几种方式并导出
  11. php使用iframe框架,ThinkPHP后台首页使用iframe(框架)
  12. python web py入门(1)-web.py简介和安装
  13. ffmpeg合并m3u8 ts key文件 解决Invalid data found when processing input错误
  14. 为什么使用use strict可以节约你的时间
  15. 【leetcode】 剑指 Offer学习计划(java版本含注释)(上)
  16. 在Ubuntu18.04下 安装MPV视频播放器 的方法
  17. 重庆市计算机专业高考试题,职业高中高考计算机专业试卷5
  18. 中职计算机公开课说课稿,校内公开课说课稿
  19. python火柴人游戏代码_Python小游戏 Hangman
  20. 干货 :一文读懂主成分分析

热门文章

  1. 不知道这是个什么网址,先留着。
  2. LSTM-实现写诗机器人
  3. 【JS】512- JS 自定义事件如此简单!
  4. 79家信息技术企业,募资1600亿!科创板企业募资、市值、涨幅情况排行榜发布...
  5. (P1)PALM-4U介绍及其下载安装
  6. 03、supervisor
  7. springSecurity-oauth2默认用户身份验证转换器( 方法上的注解权限authorities)
  8. 16进制 或运算 与运算
  9. Python处理Excel(4):正则查找Excel复制行
  10. 增长的年龄,无奈的时光.