4.1 ori指令说明

  • ori指令格式:

    索引为rs的通用寄存器的值与扩展后的立即数进行or运算,结果存储到rt
    (1) 符号扩展

    (2)通用寄存器
    32个通用寄存器,使用某个通用寄存器只需要给出相应的索引,索引占用5bit

4.2 流水线结构的建立

4.2.1 流水线的简单模型

  • 寄存器输出端与输入端存在环路,则这样的电路称为状态机,寄存器之间有连接,无环路,这样的电路结构称为流水

4.2.2 原始的OpenMIPS五级流水线结构

4.2.3 一些宏定义

  • 提升代码的可读性

4.2.4 取指阶段的实现

  1. PC模块
    PC模块的作用是给出指令地址

  2. IF/ID模块
    暂时保存取指令阶段取得的指令以及对应的指令地址,并在下一个时钟传递到译码阶段

4.2.5 译码阶段的实现

  1. Regfile 模块
  • 实现了32个32位通用整数寄存器,可以同时进行两个寄存器的读操作和一个寄存器的写操作。
  1. ID模块
  • 作用是对指令进行译码,得到最终运算的类型,自诶行,源操作数1,源操作数2,要写入的目的寄存器地址等信息。

  1. ID/EX模块
    作用是将译码阶段取得的运算类型,源操作数,要写的目的寄存器地址等结果,在下一个时钟传递到流水线执行阶段

4.2.6 执行阶段的实现

  1. EX模块
    执行运算操作
  2. EX/MEM模块
    将执行阶段取得的运算结果,在下一个时钟传递到流水线访存阶段

4.2.7 访存阶段的实现

针对ori指令,不需要访问数据存储器,在访存阶段,简单地将执行阶段的结果向回写阶段传递即可,流水线访存阶段包括MEM,MEM/WB两个模块

  1. MEM模块
    将执行结果直接作为输出
  2. MEM/WB模块
    与MEM相似,将输入信号传递到对应的输出端口

4.2.8 回写阶段的实现

这个阶段实际是在Regfile模块中实现的,完成指令运算结果写入目的寄存器的功能

4.2.9 顶层模块OpenMIPS的实现

功能是对上述各个模块进行例化,连线

验证OpenMIPS实现效果

4.3.1 指令存储器ROM的实现

验证之前首先实现指令存储器,以便OpenMIPS从中读取指令。

4.3.2 最小SOPC的实现

4.3.3 编写测试程序


由于GCC编译器的安装、使用、Makefile文件的制作还需不少篇幅,本届采用手工编译的方式编译测试程序。

4.3.4 建立TestBench文件

给出最小SOPC运行的时钟,复位信号

4.3.5 使用ModelSim检验OpenMIPS实现效果

仿真检验实现效果

4.4 MIPS编译环境的建立

该文章的OpenMIPS设计时就计划与MIPS32指令集架构兼容,可以使用MIPS32架构下已有的GNU开发工具链。

4.4.1 VisalBox的安装与设置

GNU工具链要安装在Linux环境下,VisualBox是一款开源的虚拟机软件,

4.4.2 GNU工具链的安装

GNU工具链常用工具:
as:GNU汇编器:对汇编源程序进行编译产生目标文件
ld:GNU连接器:对目标文件进行链接,重定位数据产生可执行文件
objcopy:用于将一种格式的目标文件复制为另一种格式
readelf:类似objdump,但只能处理ELF格式文件

4.4.3 使用GNU工具进行编译

测试程序:

编译代码与结果:

产生elf文件

4.4.4 使用GNU工具进行链接

将可重定位ELF文件通过链接转化为可执行文件inst_rom.om,其为elf格式

4.4.5 得到ROM初始化文件

将inst_rom.om转化为二进制格式inst_rom.bin,并转化为存储器初始化文件的格式。

4.4.6 编写Makefile文件

目的是将上面4条命令使用一条命令替换,得到存储器初始化文件

4.5第一条指令实现小结

  1. 实现了ori
  2. 实现了最小SOPC
  3. 仿真验证了ori正确性
  4. 详细介绍从汇编到存储器初始化文件的过程

第4章:第一条指令ori的实现相关推荐

  1. 自己动手写CPU(1)五级流水线及CPU第一条指令ori

    自己动手写CPU(1)五级流水线及CPU第一条指令ori 动机 不知为何研一的自由时间突然多起来,可能人一闲下来就容易焦虑吧,hhhhhh.正好之前看到一本<自己动手写CPU>,就按照此书 ...

  2. verilog实现多周期处理器之——(二)第一条指令ori的实现

    本博文希望对于OpenMIPS第一条指令ori加以实现并总结.会加入一些基本的理论以及博主的学习记录. 流水与五级流水 什么是流水:拆分,并行.将多条指令的执行相互重叠起来.就构成了流水,这样充分利用 ...

  3. 【自己动手写CPU】第一条指令ori的实现

    验证过程 实现ori指令->建立最小SOPC->验证ori指令是否正确 ori指令说明 ori是进行逻辑"或"的运算指令 ori指令的指令码是6'b001101.处理器 ...

  4. 【基础】ARM芯片上电取第一条指令流程

    转载:ARM上电启动及Uboot代码分析 网上关于ARM的bootloader(以Uboot为例)的启动顺序的资料有好多,但是对于Uboot的地址映射.体系结构级操作介绍很少,都是直接开始Start. ...

  5. 【我所認知的BIOS】--第一条指令

    [我所認知的BIOS]-->第一条指令 By LightSeed 2009-10-26 其实早就想写这样一篇文章了,今天才着手写了下.说来也惭愧关于CPU的第一条指令的问题,在一开始study的 ...

  6. ARM上电后第一条指令

    网上关于ARM的bootloader(以Uboot为例)的启动顺序的资料有好多,但是对于Uboot的地址映射.体系结构级操作介绍很少,都是直接开始Start.s代码的阅读.本文拟详细分析Uboot从上 ...

  7. 对[我所认识的BIOS]系列 -- CPU的第一条指令 一文扩充(III):从源代码到 FFS 文件

    我们可以在\Build\NT32IA32\DEBUG_VS2013\IA32\MdeModulePkg\Universal\BdsDxe\BdsDxe\OUTPUT\ 目录下,找到编译生成的 BdsD ...

  8. 对[我所认识的BIOS]系列 -- CPU的第一条指令 一文扩充(II):从FDF到Bios Rom image

    在"对[我所认识的BIOS]系列 -- CPU的第一条指令 一文扩充(I)"一文中,我用EFITool工具加载了BIOS Rom,发现Reset Vector位于BIOS Rom ...

  9. 计算机开机执行的第一条指令是什么?

    第一条指令的位置在FFFF:0000,也就是物理地址FFFF0.第一条指令是跳转到F000:EO5B. 接下来准备由实模式进入保护模式.加载GDT,置PE位为1,清指令预取队列并真正进入保护模式. 那 ...

最新文章

  1. 基于Eigen库和Matlab计算非线性多元函数最小值
  2. 5分钟回顾Linux25年的发展历程与变迁
  3. 互联网算法面试高频题目
  4. Flask-SQLAlchemy插件
  5. mysql 最大值 关联_mysql-关于最大值的内部联接表
  6. DrawTool画笔之图形笔
  7. 【图像处理】直方图均衡化(附带Matlab及OpenCV3自编程实现代码)
  8. pyDes vs pycrypto
  9. 【代码保留】WebService发布本地磁盘信息
  10. springmvc结合json向后台传送数据(get、post)
  11. BZOJ1079[SCOI2008] 着色方案
  12. 3.6-WizNote 常用快捷键
  13. 信号与系统 --- 复指数函数(个人学习笔记)
  14. 关于uni-app框架下,用户真实头像采集的优化方案
  15. 风云2号卫星云图_中国为什么要发这么多卫星?答案没有出乎意料
  16. 会议室管理系统源码分享-Spring mvc+mybatis+jpa+mysql+bootstrap
  17. 取得目录和取得操作系统盘符以及获取系统盘可用空间
  18. linux wifi 8192移植 及部分wifi工具的使用
  19. proftpd mysql_ProFTPD的MySQL数据库
  20. 贵阳中天会展城A10大平层350平米户型装修设计效果图

热门文章

  1. 论文笔记——YOLO-POSE
  2. 突发!因为这个原因,ChatGPT又双叒大面积封号了...
  3. Windows下 QT 使用directdshow对UVC摄像头控制
  4. 就这? Scrapy框架被我用了个遍,结果只制作了表情包!
  5. 二级建造师学习心得总结
  6. 怎么上微博热搜榜?如何推广?
  7. Leetcode-How-What 力扣Leetcode刷题指南
  8. JAVA代码操作HDFS
  9. C语言 函数调用方式以及嵌套调用
  10. Shader入门---曲面细分着色器和几何着色器