一、代码

#include<reg51.h>

unsigned char SEG[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f};//0-9

unsigned char dat[4]={0000};

unsigned char ctrl[]={0x0e,0x0d,0x0b,0x07};//1110,1101,1011,0111 s4,s3,s2,s1

unsigned int num=0;

void delay();

void main ()

{

unsigned char i=0;

TMOD=0x06;

TH0=TL0=(256-1);

ET0=1;

EA=1;

TR0=1;

while(1)

{

dat[0]=num/1000;

dat[1]=num/100%10;

dat[2]=num/10%10;

dat[3]=num%10;

for(i=0;i<4;i++)

{

P0=SEG[dat[i]];

P2=ctrl[i];

delay();

P2=0xff;

}

}

}

void t0_srv() interrupt 1

{

num++;

if(num>9999)

num=0;

}

void delay()

{

unsigned int j=0;

for(j=0;j<1000;j++)

;

}

二、protues原理图

单片机计数器代码(四位共阴数码管)相关推荐

  1. 【小白米日志】树莓派3b+四位共阴数码管的连接和驱动

    [小白米日志]树莓派3b+四位共阴数码管的连接和驱动 所需材料 数码管的简介 GPIO连接 代码 演示 所需材料 笔记本电脑:通过ssh控制树莓派. 树莓派3b+:装好系统,打开ssh. 四位共阴 数 ...

  2. 物联网开发笔记(80)- 使用Micropython开发ESP32开发板之通过IIC接口控制TM1650四位共阴数码管模块

    一.目的 这一节我们学习如何使用我们的ESP32开发板来通过IIC接口控制TM1650四位共阴数码管模块.  二.环境 ESP32 + Thonny + TM1650四位共阴数码管模块 + 几根杜邦线 ...

  3. c语言编计分秒时的程序,用c语言编写程序,用于c51单片机四位共阴数码管显示,显示分秒的计时器。...

    匿名用户 1级 2014-05-07 回答 /*************************************************************************** 标 ...

  4. C语言数码管是共阴共阳程序,单片机程序怎么把共阴数码管程序改成共阳的

    #include #define uchar unsigned char #define uint unsigned int //数码管位端口定义 sbit w1=P2^0; sbit w2=P2^1 ...

  5. 基于51单片机的四位共阴数码管秒表proteus仿真

    硬件设计 (末尾附文件) 代码设计 #include "reg51.h" #include "intrins.h"#define GPIO_DIG P2 //数 ...

  6. 四位共阳极数码管显示函数_【项目8-任务10-小组13】利用四位数码管实现动态扫描显示...

    学习任务 1.四位数码管的电路结构与显示原理 (什么是位选.什么是段选.共阴与共阳的区别.显示4位数字,需要多少条口线?显示n位数字呢?) 2.Arduino直接连接四位数码管实现四位阿拉伯数字显示 ...

  7. 共阳极数码时钟c语言程序,51单片机_DS1302时钟芯片_共阴数码管_时钟实例【中为电子科技工作室】_51单片机ds1302数码管时钟...

    该文档包括原理图.源代码,系统的介绍了51单片机和DS1302的应用,显示方式为4位共阴时钟数码管,具有调时功能,原理图和源代码都已验证是可用的. E:\Demo\Keil3\IS EB51M V10 ...

  8. 4位7段共阴数码管动态显示的verilog代码

    4位7段共阴数码管动态显示的verilog代码 sn_data为输入的显示数值 clk接100MHz时钟 s为数码管位选信号,高电平有效 seg为7段共阴极数码管输出 `timescale 1ns / ...

  9. 【蓝桥杯单片机备赛】3.【SMG】共阳共阴数码管模板整理及真题实战心得

    初学数码管的时候,曾经被数码管显示的亮度折磨过一段时间,现在来总结一遍自己对数码管的理解. 0 1 2 3 4 5 6 7 8 9 A B C D E F 0xC0 0xF9 0xA4 0xB0 0x ...

  10. 单片机==共阴数码管(4)

    目的: 在共阴数码管上,轮流在对应的数码管显示出0-7的数字 包括的文件如下 //seg.c #include <reg52.h> #include <intrins.h> # ...

最新文章

  1. 36小时,造一个亚马逊无人商店 | 实战教程+代码
  2. Odoo之Field
  3. 游戏《蔚蓝山》教我的编程道理
  4. [kuangbin]各种各样的题单
  5. java B2B2C源码电子商务平台 --zuul跨域访问问题
  6. Verilog HDL常用循环语句类型
  7. 数据结构之图的存储结构一及其实现
  8. 开发中常用的加密算法大全初步总结
  9. 利用 Windows 子系统 Linux(WSL)开发 Python 环境搭建
  10. 详细安装sqlmap详细教程
  11. Python代码格式化规范
  12. 机器学习之十大经典算法(九)EM算法
  13. C和C++的二进制,八进制,十六进制输出格式(全面版)
  14. Java EE小知识
  15. air.tv.douyu.android,斗鱼(air.tv.douyu.android) - 7.0.6.1 - 应用 - 酷安
  16. 机械键盘之黑轴、青轴、茶轴、红轴、白轴的区别
  17. IP地址的三种表示方式是什么
  18. 如何使用remix编写solidity智能合约并部署上链
  19. VM虚拟机无法安装WIN7系统,出现“start booting from cd”
  20. 【buaa机试题】机场登机口调整

热门文章

  1. 使用 SPDK 技术优化虚拟机本地存储的 IO 性能
  2. 波长缩短系数matlab,波长缩短效应,天线缩短系数:天线末端效应、相位常数
  3. cad修改快捷键_怎么编辑CAD快捷键?
  4. 谷歌flash无法输入中文
  5. 郝斌C语言 printf与scanf的用法
  6. OneNote 提示不能使用个人账户登录( 亲测可用)
  7. 《近匠》专访WRTnode创始人罗未——开源硬件如何拥抱物联网
  8. 3DMax环境贴图无法正常显示的一种解决方法
  9. vbs如何调用c语言函数,VBS编程教程 (第6篇)
  10. Vbs 脚本编程简明教程之一