module top_module (input clk,input reset,   // Synchronous active-high resetoutput [3:1] ena,output [15:0] q);reg [3:0]unit,ten,hun,thu;//个位循环0~9always@(posedge clk)beginif(reset)unit <= 4'b0;else if(unit == 9)unit <= 4'b0;elseunit <= unit + 1'b1;end// 十位循环,先判断十位上是不是9,是就接着判断个位上是不是9,个位数是9,十位为0,个位不是9,十位保持不变。不是就在个位是9的时候+1always@(posedge clk)beginif(reset)ten <= 4'b0;else if(ten==9)begin if(unit==9)ten <= 4'b0;elseten<= ten;endelse if(unit ==9)ten <= ten +1'b1;elseten <= ten;end// 百位循环,先判断百位上是不是9,是就接着判断十位个位上是不是99,是99,百位为0,不是99,百位保持不变。不是就在个位和十位是99的时候+1。因为百位数是逢100进一always@(posedge clk)beginif(reset)hun <= 4'b0;else if(hun==9)begin if(ten==9&unit==9)hun <= 4'b0;elsehun <= hun;endelse if(ten==9&unit==9)hun <= hun + 1'b1;elsehun <= hun;end always@(posedge clk)beginif(reset)thu <= 4'b0;else if(thu==9)beginif(hun==9&ten==9&unit==9)thu <= 4'b0;elsethu <= thu;endelse  if(hun==9&ten==9&unit==9)thu <= thu + 1'b1;elsethu <= thu;end assign q = {thu,hun,ten,unit};assign ena = {hun==9&ten==9&unit==9,ten==9&unit==9,unit ==9};endmodule

HDLbits 4位BCD计数器2相关推荐

  1. 4位BCD计数器设计

    状态转换: 输出函数: 4位BCD计数器设计:

  2. 4位BCD计数器Verillog简便代码-无需例化子模块

    4位BCD计数器Verillog简便代码-无需例化子模块 文章目录 4位BCD计数器Verillog简便代码-无需例化子模块 题目要求 Verilog代码 - 不例化子模块 Verilog代码 - 例 ...

  3. 三位bcd加法计数器_两个8位BCD编号的加法| 8085微处理器

    三位bcd加法计数器 Problem statement: 问题陈述: To perform addition operation between two 8-bit BCD numbers usin ...

  4. HDLBITS笔记27:计数器(4位计数器、计算0-9/0-10计数器、具有控制信号的计数器)

    目录 题目1:4位二进制计数器(four-bit-binary counter) 题目2:计数器10(decade counter) 题目3:计数器10(dacade counter again) 题 ...

  5. HDLBits答案(11)_Verilog计数器

    Verilog计数器 HDLBits链接 前言 今天更新一个小节内容:计数器.计数器可以说是我们接触数字电路以后用的最频繁的模块之一了,无论是项目.应聘还是将来的工作,计数器都无处不在. 题库 题目描 ...

  6. FPGA _Verilog HDL_8位加法计数器设计实验

    一.题目 8位加法计数器设计. 二.源代码 说明:本实验实现了八位加法计数器,可以用于定时1us;其中CLK,EN,RST, LOAD,DATA作为输入信号:CLK作为时钟信号,频率为50MHz:EN ...

  7. 单片机(keil c51):BCD码转化为二进制(以4位BCD码举例,依此类推)

    BCD码转化为二进制 原理: 一个BCD码表示0~9,所以一个BCD码的取值范围为0000~1001. 在机器里所有的数都是按照二进制存入的,所以只需将BCD码转换为十进制即可. 4位BCD码可以表示 ...

  8. 4位递增计数器的设计(详解并附有代码)

    本关任务:设计一个4位二进制(十六进制)同步递增计数器,要求具有同步置数.异步清零功能. 相关知识 计数是一种最简单的基本运算.计数器就是实现这种运算的逻辑电路,计数器在数字系统中主要是对脉冲的个数进 ...

  9. 【verilog_9】: 设计16位二进制计数器,带异步复位、同步使能、同步装载、同步清零、同步置位

    2.设计16位二进制计数器,带异步复位.同步使能.同步装载.同步清零.同步置位 法一 author : Mr.Mao e-mail : 2458682080@qq.commodule CNT_16B2 ...

最新文章

  1. WCF 第九章 诊断 系列文章
  2. jconsole jvisualvm远程监视websphere服务器JVM的配置案
  3. GeoServer怎样修改线性地图的颜色样式
  4. [LGP4707] 重返现世
  5. iOS 在tableview的侧滑事件里执行tableView.selectRow无效的解决办法
  6. Orleans解决并发之痛(五):Web API
  7. VC创建DLL动态链接库及其调用
  8. C++程序的构成和书写形式
  9. 异贝,通过移动互联网技术,为中小微实体企业联盟、线上链接、线上线下自定义营销方案推送。案例7
  10. 【Ubuntu】Ubuntu18.04主题,图标,登陆美化
  11. 【支付】——毕业设计中利用websocket做模拟支付
  12. 在Caché中使用正则表达式
  13. 树莓派+android+盒子,最强电视盒子诞生记-树莓派4电视盒子
  14. java横向导出excel_利用Java进行Excel的数据导入导出
  15. 弘辽科技:直通车双重优化
  16. 固态硬盘用硬盘盒外接但是不显示盘符
  17. NBIOT模组M5310接入OneNET平台
  18. 多么痛的领悟:13 起惨痛的宕机案例
  19. linux中rpm.bin文件与bin文件的区别
  20. log(A/B) = logA -logB 证明

热门文章

  1. 上、下运动神经元的区别
  2. 线程函数参数(LPVOID Param)
  3. 企业邮箱注册申请流程,企业邮箱怎么注册账号?
  4. JSON是什么,做什么用的
  5. 全面解析:服务蓝图的应用逻辑
  6. 【华人学者风采】刘欢 亚利桑那州立大学
  7. Keep能一直“keep”吗?
  8. 2022年无人值守煤炭运销管理系统性能有什么要求
  9. 男人二十岁后应该学会的习惯 - 褪墨
  10. ubuntu命令行登陆校园网