目录

  • 一、1位加法器
    • 1、半加器
    • 2、1位全加器
    • 3、n位串行进位加法器
    • 4、超前进位加法器

两个二进制数之间的算术运算,无论是加减乘除,目前在数字计算机(数字系统)中都是化作若干次加法运算进行的。因此,加法器是构成算术运算器的基本单元。

一、1位加法器

1、半加器

如果不考虑有来自低位的进位输入,将两个一位二进制相加,称为半加。实现半加的电路为半加器。

半加器的真值表

A、B为输入,S为A、B相加的和,CO为进位输出。通过真值表,可以写出S和CO表达式:
S = A’B + AB’
CO = AB

Verilog 代码为:

// 一位半加器module h_adder(input        A,       // 被加数input        B,       // 加数output       S,        // 和数output       CO        // 进位);assign CO = A & B;assign S = A ^ B;endmodule

因此一个半加器由一个异或门和一个与门构成

2、1位全加器

如果考虑有来自低位的进位输入,相当于将三个一位二进制相加,称为全加。实现全加的电路为全加器。
一位全加器真值表


可以看到,这时候的S和CO的逻辑表达式比较复杂,但是,我们可以用两个半加器和一个或门实现全加器。
先对两个输入ain、bin进行半加,进位输出c1,和数输出s1;再将s1与进位cin半加,得到最终的和数sum,和进位输出c2,再将c1与c2或上得到最终的进位输出co。
代码如下:

module f_adder(ain,bin,cin,cout,sum
);input     wire        ain;
input   wire        bin;
input   wire        cin;
output  wire        cout;
output  wire        sum;wire    SYNTHESIZED_WIRE_0;
wire    SYNTHESIZED_WIRE_1;
wire    SYNTHESIZED_WIRE_2;h_adder  b2v_inst(.A(ain),.B(bin),.CO(SYNTHESIZED_WIRE_0),.S(SYNTHESIZED_WIRE_2));h_adder    b2v_inst2(.A(SYNTHESIZED_WIRE_2),.B(cin),.CO(SYNTHESIZED_WIRE_1),.S(sum));assign  cout = SYNTHESIZED_WIRE_0 | SYNTHESIZED_WIRE_1;endmodule

3、n位串行进位加法器

n位串行进位加法器是用 n个全加器级联构成,这种电路的最大缺点是运行速度慢。

Verilog代码:n位串行进位全加器

4、超前进位加法器

这种加法器是用空间换时间的设计,这里不作介绍

数字电子技术基础(七):加法器相关推荐

  1. 数字电子技术基础(八):超前进位加法器

    目录 一.原理 二.Verilog实现及仿真 1.1位超前进位加法器 2.4位超前加法器 3.16位超前进位加法器 在上篇文章,介绍了串行进位加法器: 数字电子技术基础(七):加法器 这种加法器在运算 ...

  2. 山东大学继续教育计算机3,山东大学继续教育数字电子技术基础试题3及答案.doc...

    数字电子技术基础模拟卷 3 一 填空. 1 逻辑代数中,基本的运算关系是 与 . 或 和 非 . 2 十进制数27转换成二进制数为 11011 :转换成8421BCD码是 00011011 . 3 在 ...

  3. 数字电子技术基础第三版杨志忠_阎石数字电子技术基础第6版笔记和课后习题详解...

    阎石<数字电子技术基础>(第6版)笔记和课后习题(含考研真题)详解 第1章 数制和码制 1.1 复习笔记 本章作为<数字电子技术基础>的开篇章节,是数字电路学习的基础.本章介绍 ...

  4. 数字电子技术基础大作业---电子表、流水灯

    数字电子技术基础大作业 电子表.流水灯 一.电子表 1.1应用的元件 555.六片74LS160N.三片74LS26D.两片74LS04D.六个个D_HEX(十六进制输入的显示数码管).电阻.电容若干 ...

  5. (一)《数字电子技术基础》——引言

    目录 课程背景 数字电子技术的特点 数字电路的分类 课程背景 数字电子技术是电子信息类.电气工程类.自动控制类.机电类.计算机及其应用专业的一门专业技术基础课程.电子技术领域的两大支柱是模拟电子技术和 ...

  6. 《数字电子技术基础》6.4 时序逻辑电路——设计方法(FSM)有限状态机

    前言 <数字电子技术基础>第6.4节学习笔记,本人在编写Verilog时序逻辑代码时,关于这一部分的状态图需要好好学一下. 6.4.1 同步时序逻辑电路的设计方法 一般步骤 一.逻辑抽象, ...

  7. 《数字电子技术基础》4.4/6.5 组合逻辑/时序逻辑电路中的竞争-冒险

    前言 <数字电子技术基础>第4.4节和第6.5节 组合逻辑电路和时序逻辑电路中的竞争-冒险现象学习笔记 4.4 组合逻辑电路中的竞争-冒险 4.4.1 竞争-冒险现象及其成因 之前讨论组合 ...

  8. 数字电子技术基础知识点总结,包含习题!

    数电/数字电子技术基础全面知识点及习题总结(看这一篇就够了!!!)_数电知识点整理_徐二苗的博客-CSDN博客

  9. 《数字电子技术基础》5.1 触发器 概述

    前言 <数字电子技术基础>第5.1节学习笔记 <数字逻辑电路设计>第4.1节学习笔记 5.1 触发器 概述 数字电路分为组合逻辑电路和时序逻辑电路. 组合逻辑电路由门电路组成, ...

  10. 【数字电子技术基础】逻辑代数基本运算公式证明

    参考书目:<数字电子技术基础>第三版.侯建军.高等教育出版社 名称 公式1 公式2 0-1律 A⋅1=AA⋅0=0A\cdot 1=A \\ A\cdot 0=0A⋅1=AA⋅0=0 A+ ...

最新文章

  1. 手把手教你在多种无监督聚类算法实现Python(附代码)
  2. 人工智能:主导下一轮科技创新红利
  3. Exchange服务器系列课程之四--管理Exchange收件人
  4. 惠普ilo管理界面远程安装系统
  5. 精确计算代码执行时间
  6. Spring+SpringMVC+MyBatis+SpringSecurity+EhCache+JCaptcha 完整Web基础框架(前言)
  7. React 点击按钮显示div与隐藏div
  8. 毕马威_【毕马威快讯】毕马威发布个人信息保护法(草案)概览
  9. 一文梳理序列化推荐算法模型进展
  10. 面试题 16.18. 模式匹配
  11. Top3获奖金10万,Seq2seq对话系统设计方案
  12. 卡尔曼滤波测量球体自由落下
  13. HttpServletRequest小结
  14. 华为鸿蒙系统多而能使用吗,【图片】华为鸿蒙系统的厉害之处在于 你可能非用不可 !【手机吧】_百度贴吧...
  15. Improving Opencv 8: The Core Functionality :File Input and Output using XML and YAML files
  16. 人大经济论坛SAS入门到高级教程
  17. L4RE学习笔记——服务介绍
  18. python求平均值_如何用python求平均值
  19. 数学:确定性的丧失--第一章 数学真理的起源
  20. 博客搭建(基于hexo)

热门文章

  1. C++动态规划算法之0-1背包问题
  2. 五大经典算法(贪婪、动态规划、分治、回溯、分支限界法)及其联系和比较
  3. HR软件七步帮助企业管理员工
  4. c语言编程输出1000以内能被3整除的数,【C语言】找出1000以内可以被3整除的数
  5. 虚短 虚断 搞定放大器原理计算
  6. html去控制手机的按键
  7. 李沐-动手学深度学习-pytorch版-”d2lzh_pytorch”包的使用
  8. Pericom PI3HDX414FCEEX HDMI 多路分配器
  9. SUMO(零)—— 地图文件转化
  10. 什么蓝牙耳机最耐用?2022公认四款最耐用的蓝牙耳机