实验内容:
使用七段数码管显示数字和字母,并一秒钟切换一次,循环显示

仿真图

show you my code
程序挺简单的,注释代码里也写的已经挺详细了,直接看代码吧

源代码

//要求
//1.生成七段数码管段码
//2.编写程序让接在P0口的数码管显示数字,数字每秒加一
//3.要求1秒时间间隔使用定时器中断实现
#include "reg52.h"typedef unsigned char u8 ; u8 code smgduan[17]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f,0x77,0x7c,0x39,0x5e,0x79,0x71};
static u8 i = 0;   //用来选择亮的数字/************************************************
*函数名:Timer0_Init
*功能:定时器0初始化
*参数:无
*************************************************/
void Timer0_Init()
{TMOD|=0X01;//选择为定时器0模式,工作方式1,仅用TR0打开启动。TH0=0X3C;   //给定时器赋初值,定时50msTL0=0XB0;   //0X3CB0的十进制是15536 从15536计数到65536计数50000次 即50000us=50ms    ET0=1;//打开定时器0中断允许EA=1;//打开总中断TR0=1;//打开定时器
}/************************************************
*函数名:main
*功能:函数入口
*参数:无
*************************************************/
void main()
{Timer0_Init();//死循环while(1){P0=~smgduan[i];}
}/*******************************************************************************
* 函 数 名: Timer0
* 函数功能: 定时器0中断服务函数
* 参数:无
*******************************************************************************/
void Timer0() interrupt 1
{static int j = 0; //用来计算进入中断的次数j += 1;  //每次进入中断加一,加满5次(10*50ms = 500ms=0.5s)刚好 0.25 秒if(j==10){//数字加一     i+=1;if(i==16){i=0;}j=0;      //时间清零TH0=0X3C;    //手动给定时器重新赋初值,定时50msTL0=0XB0;}
}

七段数码管数字字母显示相关推荐

  1. python七段数码管绘制字母31bdacef_图中7段数码管显示器型号,在proteus中怎么找出来?...

    这个元件是用2113protel画的吧,那个名字:526141028SEG-4也是画图者自己起的,并不1653是什么标准的名字内,到了proteus里当然就找不容到了.而且这两个软来件的元件名称根本不 ...

  2. Python绘制七段数码管 获取并显示当前系统时间

    效果展示: 实现代码: import turtle,timedef drawGap():turtle.speed(0) #设置画笔速度 "fastest":0 "fast ...

  3. 七段数码管数字时钟实时显示显示(年月日时分秒)

    用数码管显示系统实时时间需要用到turtle.datetime以及time这三个函数,调出系统时间进行运用并控制数码管绘制过程实现这一程序! 最后呈现出来的结果会是一个动态的数码管,每秒钟刷新一次,来 ...

  4. 七段数码管26字母对照表(附带映射表以及映射数组)

    映射图是网络上面找的,对应十六进制是手敲的. 惨兮兮兮兮兮兮兮兮兮兮兮兮兮兮兮兮兮兮兮兮 上表(图片有大大的水印.明示盗图 狗头) 然后是对应表 10.15添加版:将对照表也加进去(又是盗的图,侵权就 ...

  5. 4位数码管数字循环显示(201714350314为例)

    /************************************************************************************** 动态数码管显示实验 * ...

  6. 51单片机七段数码管显示时钟无按键控制—①—74HC595版

    参考链接: 51单片机七段数码管显示时钟加按键控制-③-74HC595版 51单片机七段数码管显示时钟加按键控制-②-74HC595版 文章目录 一.实验内容: 二.实验分析: 三.仿真图: 四.源代 ...

  7. 对于七段数码数字模型进行改进:一个关键的数字1的问题

    简 介: 对于训练集合进行扩增,需要根据图片本身在应用中可能遇到的变化进行.对于图片中的数码管数字识别,一个最重要的问题是字符的平移,特别是对于字符1来说,遇到的可能性最大.比如在一些三位半,四位半的 ...

  8. 【电路分享】基于8086投票器积分器系统设计、8086数码管数字钟计时器、8086密码锁可修改仿真、8086可控交通灯系统设计、8086流水灯系统设计、8086三位电压表电压采集仿真

    微机原理-基于8086投票器积分器系统设计-protues仿真-361 利用所学8086系统的知识设计一个可以实际使用的八人投票表决器,利用开关按钮作为投票按键,通过8255采集八人的投票信息作为输入 ...

  9. python turtle 绘制七段数码管以及14段数码管显示字母和时间

    目录 书上的7段数码管,显示时间 书上的7断数码管显示应功都看过了,这里顺便提供一下` 看看显示原理 14段模型 14位数码管,画英文,和数字 书上的7段数码管,显示时间 书上的7断数码管显示应功都看 ...

最新文章

  1. JS 伸缩效果代码 (上下伸缩)
  2. 给PS4装上Linux,然后用Xbox手柄打任天堂游戏?网友:这场面我没见过
  3. python flask 返回值 状态码 设置
  4. Nginx安装与配置文件解析
  5. AI影响将远超互联网 不在乎与AT市值差距
  6. aix内核是linux,查看Linux及AIX硬件信息方法总结
  7. 【转】Linux命令行下svn ignore忽略文件或文件夹用法
  8. 如何在中小型软件企业推进PMO(项目管理办公室)建设
  9. 〖EXP〗NSA MS17010永恒之蓝一键工具
  10. 定点运算之补码一位乘法(Booth算法)
  11. css3 clac函数的易错整理
  12. 微软放弃的游戏被他们复活了:Windows经典「三维弹球」现实版,CAD建模、Arduino编程、数控机床打造,硬核致敬童年...
  13. 【IDEA保姆级教程】快捷键
  14. orb slam [RGBD-1] process has died解决
  15. 计算机设备固定资产拆旧年限,固定资产折旧年限最新规定(全)
  16. sql中日期函数的用法
  17. 如何让人更高效的完成事情
  18. 北京朝阳一互联网公司被端,警方上门,23人被带走…这种开发千万别干
  19. 中专学计算机要升大专吗,计算机中专可以升大专
  20. PTA 名人堂与代金券

热门文章

  1. 计算机模拟动物打架,动物打架模拟器
  2. 《Risk》杂志公布风险管理技术最新排名-2005
  3. 基于sklearn的人工神经网络
  4. 齐博CMS1.0全版本的sql注入漏洞
  5. 数据挖掘-Task4:建模与调参
  6. 自用在线word代码高亮工具
  7. JAVA 面试背 通过_java面试题背不下来怎么办?java面试题总结
  8. 7家公司拿了6个Offer,无非就是问数据库、多线程、源码、分布式、微服务这些
  9. Microsoft Office 2016 专业增强版官方原版镜像下载
  10. 自我总结:Centos7-Minimal安装后应该干什么