建议先把课本上的 半加器与全加器理解一下,再来写 半减器和全减器
点这里查看 哔哩哔哩上的视频 《半加器与全加器》(讲得挺清晰的)
点这里查看 哔哩哔哩上的视频 《什么是全减器》(讲得挺清晰的)

文章目录

  • 代码仿真效果
    • 注意:半减器和或门 要放在同一个工程目录下
      • 半减器:
      • 或门:
      • 全减器:
    • 喜欢看图敲 练熟练度的小伙伴点这里
      • 半减器
      • 或门
      • 全减器
  • 每天进步一点点 笔记仅供自学,用来回看复习,不一定适合你,如有错误请指出。

代码仿真效果

注意:半减器和或门 要放在同一个工程目录下

半减器:

LIBRARY IEEE;--引用库
USE IEEE.STD_LOGIC_1164.ALL;--调用库中的函数ENTITY h_subter IS--实例化一个半减器PORT(A : IN STD_LOGIC;B : IN STD_LOGIC;DI : OUT STD_LOGIC;--结果位BO : OUT STD_LOGIC --借位端);
END ENTITY h_subter ;--结束实例化ARCHITECTURE fh1 of h_subter IS --声明一个半减器结构体BEGIN DI    <= A XOR B ; --A 异或 BBO <= NOT A AND B;-- 非A 与 BEND ARCHITECTURE fh1;--结束结构体的声明

或门:

LIBRARY IEEE;--引用库
USE IEEE.STD_LOGIC_1164.ALL;--调用库中的程序包ENTITY or2a IS --实例化 或门PORT ( a, b : IN STD_LOGIC; c : OUT STD_LOGIC );END ENTITY or2a;ARCHITECTURE one OF or2a ISBEGINc <= a OR b; --c = A | BEND ARCHITECTURE;

全减器:

LIBRARY IEEE; --全减器顶层设计描述
USE IEEE.STD_LOGIC_1164.ALL; --调用库函数中的程序包ENTITY a_subter ISPORT ( ain, bin, cin : IN STD_LOGIC;diff, sub_out : OUT STD_LOGIC );
END ENTITY a_subter;ARCHITECTURE fd1 OF a_subter ISCOMPONENT h_subter   --调用半减器声明语句PORT ( A, B : IN STD_LOGIC; DI, BO : OUT STD_LOGIC );END COMPONENT;COMPONENT or2a --调用或门声明语句PORT ( a, b : IN STD_LOGIC; c : OUT STD_LOGIC );END COMPONENT;SIGNAL net1, net2, net3 : STD_LOGIC; --定义3个信号作为内部的连接线(看电路图会更加清晰)BEGIN u1 : h_subter PORT MAP(A=>ain, B=>bin, DI=>net1, BO=>net2 );--例化语句u2 : h_subter PORT MAP(A=>net1, B=>cin, DI=>diff, BO=>net3); --简写的语句sub_out <= net2 or net3;
END ARCHITECTURE;

喜欢看图敲 练熟练度的小伙伴点这里

半减器

或门

全减器

参考:【VHDL】半减器和全减器的设计
【HDL系列】半减器、全减器和减法器原理和设计

每天进步一点点 笔记仅供自学,用来回看复习,不一定适合你,如有错误请指出。

【VHDL】半减器 and 或门 组成 全减器相关推荐

  1. EDA技术与应用上机任务 电子信息类 Quartus II或Quartus Prime D触发器、半减器、全减器、可加减控制的50进制加减计数器。

    EDA技术与应用上机任务书 上机目的 熟悉掌握Quartus II或Quartus Prime开发工具的使用. 掌握利用硬件描述语言(Verilog)设计简单程序的步骤及方法. 掌握若干组合逻辑电路及 ...

  2. 【VHDL】【作业】2八选一、半减器、全减器

    [VHDL][作业]2 基于CASE语句的4选1多路选择器表述 文章目录 [VHDL][作业]2 基于CASE语句的4选1多路选择器表述 前言 1.八选一多路选择器 仿真波形 2.半减器 a.什么是半 ...

  3. Verilog 半减器和全减器

    系列目录 Verilog 半加器和全加器 Verilog 半减器和全减器 串行加法器 11位全加器verilog设计 目录 系列目录 文章目录 前言 一.什么是半减器和全减器 半减器 全减器 二.半减 ...

  4. 【FPGA】Verilog 实践:半加器与全加器 | 半减器与全减器 | Code Converter

    写在前面:本章主要理解加法器和减法器的概念,并了解 Code converter 的概念.使用 Verilog 实现多种加法器.减法器和代码转换器,通过 FPGA 验证 Verilog 实现的电路的行 ...

  5. (45)VHDL实现算术器

    (45)VHDL实现算术器 1.1 目录 1)目录 2)FPGA简介 3)VHDL简介 4)VHDL实现算术器 5)结语 1.2 FPGA简介 FPGA(Field Programmable Gate ...

  6. 流行和声(7)min7b5/半减七和弦

    流行和声(7)min7b5/半减七和弦 构成:1.b3.b5.b7 不能省略五音 张力音以及命名 b9音.9音.11音.b13音(从洛克利亚调式来) 随着张力音的出现, Cm7b5:1.b3.b5.b ...

  7. 【选型指南】频谱分析仪配件 衰 减 器 选型的3个重要参数

    衰减器是频谱仪最常用的配件.衰减器的作用是减小信号幅度.频谱仪是高灵敏度仪器,虽然其内置可变衰减器,但不支持大功率信号直接输入.一般来说,外置的衰减器更好用. 那么如何选择一款适合自己的衰减器呢,本期 ...

  8. vhdl八路抢答器简单程序_贵州抢答器plc程序编程图-云南尼邦商贸

    贵州抢答器plc程序编程图 应答设备装有使用嵌入式ppt设计的软件的新升级版本,该软件可以支持导入各种格式的问题库(文本文档,单词).问题将在几秒钟内导入.导入的问题以ppt模式直接显示,并且可以直接 ...

  9. [VHDL] 案例模板——就算忘了也要把知识塞入大脑

    前言 刚刚看到群里有人问要VHDL模板,我都快忘了这事了,VHDL的语法再不记下来就忘了.趁现在还有印象,复习一下几个FPGA实验中写的VHDL代码. 简单还是复杂,这要看受众了.文章目标人群就是忘了 ...

最新文章

  1. lamp下mysql安全加固
  2. 题目1164:旋转矩阵
  3. xtrabackup增量备份mysql +MHA
  4. STL:list用法详解
  5. 万字长文:解读区块链7类共识算法
  6. php嘲讽,PHP为何能够受到大家追捧,又为什么饱受嘲讽?
  7. java多线程的基本实现方式与示例
  8. 英伟达各版本CUDA地址以及与驱动对应关系
  9. SVN多项目共享导出及故障处理
  10. 华为路由器时区以及时间配置
  11. debian 10的安装DVD
  12. 计算机实训报告心得怎么写,计算机实训报告心得体会范文_计算机实训总结怎么写...
  13. js:两种方法实现键盘按键控制
  14. 【目标一】2019年9月15日 - 2019年9月22日晚 --- 周计划
  15. 二维码学习笔记(二) | 数据分析与数据编码
  16. python实现自动化查谁没交作业
  17. 后羿采集器怎么导出数据_后羿采集器教程:如何采集东方财富网股票数据
  18. python安装和学习-最后推荐winpython
  19. 管理员禁止运行此应用的解决办法
  20. 《自然语言处理学习之路》 13 RNN简述,LSTM情感分析

热门文章

  1. 各种标点符号的英文怎么说
  2. 关于谷歌插件开发的了解
  3. 算法实现将输入的英语句子反过来输出
  4. Windows 常见文件扩展名解释
  5. Cesium--倾斜摄影加载详细攻略
  6. 最近整理的一些常见的面试题,面试大全,黑马程序员面试宝典题库---框架--篇
  7. 每日一题——分发糖果
  8. ipad键盘符号少怎么办?
  9. Android编译时注解处理器
  10. 用了TCP协议,就一定不会丢包嘛?