六月底参加了联发科提前批的数字IC笔试,自己能会一些的无非就是FPGA部分,还是凉凉了,不好好准备还是不行!!本文记录一下题目,毕竟复盘很重要啊,同时加入一些小的理解,若有错误,请指正,谢谢!!


对联发科的了解

来自百度百科,联发科MTK作为全球领先的半导体公司,已经推出多款天玑系列5G移动芯片;MediaTek的电视芯片覆盖8K旗舰、4K高端和主流产品;MediaTek是全球智能音频设备的主要芯片供应商,并与 DTS 和杜比实验室(Dolby Laboratories)建立了长期的合作关系;总之,联发科技产品在智能手机、个人计算设备、智能家居、智能音频、无线连接及网络技术、物联网、ASIC芯片定制、车用解决方案等领域都有涉及。


我发现发哥还挺喜欢在笔试里面出一道逻辑智力题的,下面给出几个吧,第二个是2021年发哥的IC岗笔试题目,第三题也就是我六月底做的智力题了(哈哈哈,答出来了答出来了)。

趣味智力题

这里给出几个趣味智力题吧,都是笔试里面的,看完总有一种恍然大悟的感觉!!!


1、怎么判断一个正整数能被3整除?
解答:数之和是3的倍数即可。例如48,4+8 = 12,12为3的倍数,因此48可被3整数。


2、(2021校招)小明去池塘打水,池塘里有无穷多的水,小明带了 2 个空水壶,容积分别为 5L 和 6L。请问小明如何用这 2 个水壶从池塘里取得 3 升的水?请给出两种方法。
解答:

第一种方法:6L水壶装满

6L水壶装满,倒进5L水壶(空),6里面还剩1L,将现在5L水壶中的水全部倒掉,再将1L倒进5L水壶中;
6L水壶装满,倒进5L水壶(含1L),6里面还剩2L,将现在5L水壶中的水全部倒掉,再将2L倒进5L水壶中;
6L水壶装满,倒进5L水壶(含2L),6里面还剩3L,将现在5L水壶中的水全部倒掉,再将3L倒进5L水壶中;
至此,得到了3L的水。

第二种方法,5L水壶装满

5L水壶装满,倒进6L水壶(余下1L),此时6L水壶中有5L的水;

5L水壶再次装满,继续倒进含5L水的6L水壶中,能倒进去1L水,则5L水壶中还剩下4L水,将6L水壶中的水全部倒掉,将5L水壶中剩下的4L水,倒进6L的水壶,此时6L的水壶中有4L的水;

5L水壶再次装满,继续倒进含4L水的6L水壶中,能倒进去2L,则5L的水壶中还剩3L水;
至此,得到了3L的水。


3、(2022校招)假设排列着100个乒乓球,由两个人轮流拿球装入口袋,能拿到第100个乒乓球的人为胜利者。条件是:每次拿球者至少要拿1个,但最多不能超过5个。问:如果你是最先拿球的人,你怎么拿才能获胜?

解答:穷举

这个将100个乒乓球分成了10组,一组10个。
我拿1个,别人拿1个,我再拿5个,别人拿3个,我输;
我拿2个,别人拿1个,我再拿4个,别人拿3个,我输;
我拿3个,别人拿1个,我拿5个,别人拿1个,我输;
我拿4个,别人可以拿1-5个,当她拿完之后,我都能直接将剩下的都拿走,不超过5,因此我赢!
我拿4个,别人拿1个,我拿5个,我赢!
我拿4个,别人拿2个,我拿4个,我赢!
……
4、x+y+z=10;求正整数x、y、z共有多少种组合情况。
解答:用排列组合来做。


数字IC岗提前批笔试题目

发哥出了九个题目,下面记录一下,大家可以做一下,后续有时间进行答案补充。

1、(8分)【简答题】如下为一段verilog代码,请根据代码的描述,并结合已知的clk和rst_b的波形图,画出对应信号的波形图。(8分)

reg [1:0]cnt;
wire [1:0]cnt_nxt = cnt - 2'b1;
wire out_1 =  &cnt_nxt;
wire out_2 =  cnt_nxt[0+:1];
always@(posedge clk or negedge rst_b)beginif(~rst_n) cnt <= 2'h3;else cnt <= cnt_nxt;
end

不理解的点:cnt_nxt[0+:1];这里考察的是+:运算符
.
考察点:&与&&的区别,&位运算符,也就是按位与;&&逻辑与。
.
2’h3:十六进制的3,也等于2‘d3

变量[起始地址 +: 数据位宽] ——等价于:变量[ (起始地址+数据位宽-1):起始地址]
因此 cnt_nxt[0+:1] 中起始地址为0,数据位宽为1,最终等价于cnt_nxt [0]


2、逻辑图化简并写出真值表,描述电路实现的功能。

F = A ⊙ B ;实现的是同或功能;
真值表如下:


3、题目如下,该题考察最高工作频率。

(1)

关键路径:通常是指同步逻辑电路中,组合逻辑时延最大的路径;
.
最高工作频率:1/Tmin,其中最小工作周期=Tco+Tcomb+Tsu-Tskew

因此关键路径是经过了MUX和BUF2的路径;
Tmin = 1ns + 2ns + 3ns + 2ns - 2ns = 6ns;
Fmax = 1s/6ns 约等于 16M7HZ;

(2)
考察保持时间违例
若满足如下公式,则说明不存在违例。

题目中:左边 = 4ns,Th = 1.5ns,因此满足保持时间公式,不存在违例。


4、假设排列着100个乒乓球,由两个人轮流拿球装入口袋,能拿到第100个乒乓球的人为胜利者。条件是:每次拿球者至少要拿1个,但最多不能超过5个。问:如果你是最先拿球的人,你怎么拿才能获胜?

前面趣味智力题中给出答案,不再赘述,四个


5、项目、项目流程以及遇到的挑战,如何解决这类问题,不管是笔试还是面试都会被问到!!


6、

7、重点学习一下浮点数的定点化处理吧!


8、


9、


没有准备好同时自己也只会FPGA部分,做的还是稀巴烂的,继续积累吧!


下面是看别人的发哥面试问题,目前用不上了……笔试就给做没了

面试问题汇总

自我介绍(教育背景)
讲项目(具体任务、时间规划、核心技术、遇到的难点、如何解决、如何进行时序优化)——也是笔试题目之一
讲AHB
对笔试中的题目再次提问(复盘)——九个大题
IC岗中自己的优势
写一个拿手的verilog程序
IC设计流程

数字IC笔面试(一)——联发科提前批笔试题记录相关推荐

  1. 网易2020校招数据分析方向提前批笔试题解析

    网易2020校招数据分析方向提前批笔试题 选择题 简答题 编程题 选择题 有一类二叉树用三叉链表来存储的时候除了带有指向左右孩子节点的两个指针,还有指向父节点的指针,那么这样一棵二叉树有2个节点,那么 ...

  2. 数字 IC 笔试面试必考点(3)数字 IC 前端设计 + 后端实现

    前言         该资源是博主花了十多天自己整理的,IC 前端设计流程在文中给出,IC 后端设计流程除了在文中给出之外还附加了总共六十页的 PDF 详细流程文档,简直是满满的爱啊,完整展示了 IC ...

  3. 数字IC笔试面试题目总结1_同步异步、竞争冒险

    数字IC笔试面试题目总结1 同步和异步 竞争.冒险与亚稳态 格雷码与Latch 大部分内容均来自网络公开资料,如有侵权,请联系删除! 同步和异步 同步电路和异步电路最主要的区别是什么 异步电路主要是组 ...

  4. 数字IC/FPGA面试笔试准备(自用填坑中)

    文章目录 前言 常见的IC问题 数字电路基础问题 Verilog & SV 跨时钟域信号处理类 CRG 同步与异步复位 综合与时序分析类 低功耗方法 STA(静态时序分析) RTL设计(包含手 ...

  5. 数字IC笔试面试常考问题及答案

    来源:知乎 链接:https://zhuanlan.zhihu.com/p/261298869 基础知识 原理务必理解透彻. 锁存器的结构-DFF的结构-建立保持时间-亚稳态-STA-CDC. 亚稳态 ...

  6. 艾为数字ic面试题_秋招 应聘FPGA/数字IC笔试面试经验分享(简单列举FPGA/数字IC公司)...

    1.自我介绍 我是一名二本院校的电气自动化专业的本科生,因为自己的爱好,喜欢FPGA开发,两年的开发经验,用FPGA开发并完成三个省级科研立项并获得一个A类竞赛国家一等奖.目前签约上海艾为电子,数字I ...

  7. 数字IC前端面试问题总结

    本篇主要参考了 1.新芯设计 (3条消息) 新芯设计的博客_CSDN博客-如何成为一名高级数字 IC 设计工程师,数字 IC 技能拓展,基于 SoC 的卷积神经网络车牌识别系统设计领域博主 2.小汪的 ...

  8. 第一次面试经历:集创北方数字IC前端面试(崩)总结

    时间:2020年8月11日上午10点 公司:集创北方,做LED.LCD.OLED.AMOLED等显示器芯片的公司,应该主要是做显示驱动.图像处理.电源管理等这一块. 岗位:数字IC前端设计 城市:北京 ...

  9. 2021-07/08收集字节跳动---Java提前批面试题

    一.字节提前批java后台开发面试一面 1. 字符编码的utf8 gbk为什么设计这么多格式. 1)ASCII 编码 众所周知,计算机只能处理0和1,任何符号都转换为0和1的序列才能处理.计算机中8个 ...

最新文章

  1. Oracle数据库 之 删除RMAN备份
  2. openFeign 服务接口的调用03—— OpenFeign 超时控制
  3. VUE 使用插件vue-clipboard2复制内容至剪切板(两种使用方法)
  4. axis2 jar包冲突_一个jar包冲突引起的StackOverflowError
  5. spring源码分析的书到了
  6. JavaScript和ABAP的MD5计算源代码
  7. 数据结构基础(12) --双向循环链表的设计与实现
  8. 官宣了!百度36亿美元收购YY直播,一个用户“值”87.4美元
  9. 余承东亲曝P40相机成本,比骁龙865还贵,涨价原因找到了!
  10. RTP采样 p-Time Linux平台毫秒级别的延迟方法【原创】
  11. 面向对象进阶(day7)
  12. 安装Labview2012 “labview 2012 未定义必须的 NIPathsDir属性 maxAFWDIR”
  13. 深入理解Java的反射与动态代理
  14. Web浏览器测试,怎么提取测试点 - web测试方法总结
  15. 二维连续傅里叶变换对
  16. Django模板 render_to_string与render
  17. 人大金仓驱动包kingbasejdbc8.6.0.jar V8驱动jar包
  18. C语言提取字符对应的ASCAl,ascall码对照表(ASCII码表)
  19. 【二】分布式训练---参数服务器训练(飞桨paddle1.8)
  20. MySql实验嵌套查询_数据库实验:SQL嵌套查询

热门文章

  1. 云上数据泄露DLP技术有哪些变化
  2. 基于MT4的 国内期货交易系统 150ms
  3. 成功解决NotFoundError (see above for traceback): Failed to create a directory: ; No such file or directo
  4. C#游戏开发之炸弹人游戏开发
  5. 高手的内心应该是平静的
  6. 五子棋比赛程序对战平台
  7. x264 自适应量化模式 (AQ Adaptive Quantization) 初探
  8. dede php替换,织梦CMS批量替换所有文章来源和作者的方法
  9. 娱乐万岁,躺平无罪!聊点有技术含量的
  10. 语音识别数据增强方法(google2019年7月论文)