根据逻辑电路的不同特点,数字电路可以分为:组合逻辑和时序逻辑。

1 组合逻辑:

组合逻辑的特点是任意时刻的输出仅仅取决于该时刻的输入,与电路原本的状态无关,逻辑中不牵涉跳变沿信号的处理,组合逻辑的verilog描述方式有两种:

(1):always @(电平敏感信号列表)

always模块的敏感列表为所有判断条件信号和输入信号,但一定要注意敏感列表的完整性。在always 模块中可以使用if、case 和for 等各种RTL 关键字结构。由于赋值语句有阻塞赋值和非阻塞赋值两类,建议读者使用阻塞赋值语句“=”。always 模块中的信号必须定义为reg 型,不过最终的实现结果中并没有寄存器。这是由于在组合逻辑电路描述中,将信号定义为reg型,只是为了满足语法要求。

(2):assign描述的赋值语句。

信号只能被定义为wire型。

2 时序逻辑:

时序逻辑是Verilog HDL 设计中另一类重要应用,其特点为任意时刻的输出不仅取决于该时刻的输入,而且还和电路原来的状态有关。电路里面有存储元件(各类触发器,在FPGA 芯片结构中只有D 触发器)用于记忆信息,从电路行为上讲,不管输入如何变化,仅当时钟的沿(上升沿或下降沿)到达时,才有可能使输出发生变化。

与组合逻辑不同的是:

(1)在描述时序电路的always块中的reg型信号都会被综合成寄存器,这是和组合逻辑电路所不同的。

(2)时序逻辑中推荐使用非阻塞赋值“<=”。

(3)时序逻辑的敏感信号列表只需要加入所用的时钟触发沿即可,其余所有的输入和条件判断信号都不用加入,这是因为时序逻辑是通过时钟信号的跳变沿来控制的。

组合逻辑和时序逻辑有什么区别相关推荐

  1. 组合逻辑和时序逻辑的区别与联系

    根据逻辑电路的不同特点,数字电路可以分为:组合逻辑和时序逻辑 组合逻辑 组合逻辑的特点是任意时刻的输出仅仅取决于该时刻的输入,与电路原本的状态无关,逻辑中不牵涉跳变沿信号的处理,组合逻辑的verilo ...

  2. 学fpga(组合逻辑和时序逻辑)

    [ 声明:版权所有,欢迎转载,请勿用于商业用途. 联系信箱:feixiaoxing @163.com] 很多人都以为写fpga,就是编写verilog,学习一门编程语言而已.这种观点,其实只对了一半. ...

  3. 组合逻辑和时序逻辑的区别

    分三点 代码上:组合逻辑常常伴随着*号的代码 而时序逻辑常常伴随着时钟上升沿 电路层面:时序逻辑在组合逻辑的基础上多加了一个D 触发器 波形上看来:组合逻辑的波形是即刻反应变化的与时钟无关,但是时序逻 ...

  4. 组合逻辑和时序逻辑学习笔记

    目录 数字逻辑电路的种类 组合逻辑 时序逻辑 同步有限状态机 数字逻辑电路的构成 组合逻辑构成 时序逻辑构成 组合逻辑举例 一.一个八位数据通路控制器 二.一个八位三态数据通路控制器 数字逻辑电路的种 ...

  5. FPGA学习笔记——wire和reg数据以及组合逻辑和时序逻辑

    Testbench 编写 wire和reg使用方法 wire语句表示以assign关键字指定的组合逻辑信号,模块的输入输出都默认为wire型,相当于物理接线. reg语句表示寄存器类型.用于alway ...

  6. FPGA之道(43)编写纯净的组合或时序逻辑

    文章目录 前言 编写纯净的组合或时序逻辑 组合逻辑描述方法 范例介绍 注意事项 注意语句顺序 纯时序逻辑描述方法 范例介绍 注意事项 避免敏感双沿 注意语句顺序 清晰的时序逻辑描述方法 范例介绍 无伤 ...

  7. FPGA学习笔记---时序逻辑与组合逻辑分析比较

    在学习FPGA使用Verilog HDL语言编程时,开始遇到时序逻辑和组合逻辑时概念一看就明白,但是实际使用时还是不清楚到底要用哪个.现在用就一个例子来体会一下这两者的区别. 首先先看组合逻辑和时序逻 ...

  8. 双 JK 触发器 74LS112 逻辑功能。真值表_数字电路学习笔记(十一):时序逻辑...

    时序逻辑将会是本笔记的最后几章的主题.虽然数字电路课程还包括脉冲电路.模数转换.EDA等内容,但那些和本文的主线内容(不注重硬件搭建的电路设计)关系就不大了. 一.时序功能 我们从一个例子开始,说明时 ...

  9. 【 Verilog HDL 】清晰的时序逻辑描述方法之计数器的描述范例

    所谓清晰,就是便于阅读与理解,如下HDL代码所描述的电路就是清晰的时序逻辑电路,对应计数器的功能: always@( posedge clk ) beginif( rst )begincount &l ...

最新文章

  1. 数据科学工具 Jupyter Notebook 教程(一)
  2. 使用Maven创建Java项目
  3. Python基础教程:判断列表重复
  4. [USACO Mar08] 牛跑步 --k短路
  5. 当PDF页面总数不确定的时候导出PDF增加页码(i of n)
  6. 从零开始学计算机组装与维修技术,从新手到高手:电脑组装、维护与故障排除...
  7. JavaScript 详说事件机制之冒泡、捕获、传播、委托
  8. 国家机构测评主流电视品牌语音识别 长虹Q5K综合评价最佳
  9. 扎心实战案例:麻(shi)雀(zhan)虽小,五脏俱全
  10. python爬虫之多线程、多进程爬虫_python 多线程,多进程,高效爬虫
  11. VS2019MSDN的下载安装和使用
  12. i219v微星 驱动_Intel英特尔网卡驱动下载-Intel英特尔I217/I218/I219系列网卡驱动官方版下载[电脑版]-华军软件园...
  13. 安装基于Ubuntu的微信小程序开发工具
  14. Excel IRR函数告诉你信用卡分期、贷款的实际利率
  15. 【电源专题】脉宽调制(PWM)与脉冲频率调制(PFM)
  16. 北京周边有意境的好去处!!!
  17. 使用cp命令提示:略过目录...
  18. C语言写的贪吃蛇(国庆任务项目)
  19. 青岛VR全景制作免费方法教程
  20. creo 二次开发 protookit 官方make file 案例试运行

热门文章

  1. linux USB虚拟串口设备名的修改
  2. tcpdump抓包工具离线安装和使用
  3. 大屏html自动滚动,Automatic Scrolling Tabs - 网页自动滚屏
  4. CSS权重,属性书写顺序,布局技巧和网页布局总结,盒子模型排列位置---CSS
  5. Fantasy Mix-Lingual Tacotron Version 4: Google-ZYX-Phoneme-HCSI-DBMIX
  6. SpringBoot 中 The valid characters are defined in RFC 7230 and RFC 3986 终极解决方案
  7. 定义类型名称的李逵与李鬼(析typedef与typeof)
  8. 手机信令数据怎么获得_聚焦手机信令数据支持城镇体系规划的技术框架
  9. 面试官再问你优先级队列,请把这篇文章丢给他
  10. Scene Text Erasing综述和自己的思考