一.配置说明
设置为主模式,理解上(mcu对flash)的操作,mcu相当于主,flash相当于从设备。
下面的选项顾名思义,就是对spi主从设备的选项模式。


关于时钟极性和相位的设置(两者解决着对数据的接收方式)。
根据时钟极性(CPOL)及相位(CPHA)不同,
SPI有四种工作模式。
时钟极性(CPOL)定义了时钟空闲状态电平:
CPOL=0为时钟空闲时为低电平
CPOL=1为时钟空闲时为高电平
时钟相位(CPHA)定义数据的采集时间。
CPHA=0:在时钟的第一个跳变沿(上升沿或下降沿)进行数据采样。
CPHA=1:在时钟的第二个跳变沿(上升沿或下降沿)进行数据采样。
一般采取默认即可,如有特别需求可以进行调整


此处为片选硬件上的选择方式,
解读上来讲,就是从一个io口输入或输出电平,
从而决定片选对象的接收和发送数据,一般根据电路或自己的实际情况选择,如果硬件电路上出现了片选,就跟据硬件电路来。如果硬件上没有则,可以使能output或者input进行配置。

使用(disable),如此硬件电路,f_cs就是片选引脚,根据此引脚进行配置即可


2.代码补充

在spi.c初始化中添加
初始化函数中添加__HAL_SPI_ENABLE(&hspi1);                    //使能SPI1SPI1_ReadWriteByte(0Xff);                           //启动传输//SPI速度设置函数
//SPI速度=fAPB1/分频系数
//@ref SPI_BaudRate_Prescaler:SPI_BAUDRATEPRESCALER_2~SPI_BAUDRATEPRESCALER_2 256
//fAPB1时钟一般为42Mhz:
void SPI1_SetSpeed(u8 SPI_BaudRatePrescaler)
{assert_param(IS_SPI_BAUDRATE_PRESCALER(SPI_BaudRatePrescaler));//判断有效性__HAL_SPI_DISABLE(&SPI1_Handler);            //关闭SPISPI1_Handler.Instance->CR1&=0XFFC7;          //位3-5清零,用来设置波特率SPI1_Handler.Instance->CR1|=SPI_BaudRatePrescaler;//设置SPI速度__HAL_SPI_ENABLE(&SPI1_Handler);             //使能SPI}
//SPI1 读写一个字节
//TxData:要写入的字节
//返回值:读取到的字节
u8 SPI1_ReadWriteByte(u8 TxData)
{u8 Rxdata;HAL_SPI_TransmitReceive(&SPI1_Handler,&TxData,&Rxdata,1, 1000);       return Rxdata;                     //返回收到的数据
}
flash.h文件中添加
//EN25X系列/Q系列芯片列表      #define EN25Q80  0XEF13
#define EN25Q16     0XEF14
#define EN25Q32     0XEF15
#define EN25Q64     0XEF16
#define EN25Q128    0XEF17
//#define EN25Q64   0XC816
//#define EN25Q64   0X1C16      //GD25QXX
//#define EN25Q64   0X2016      //XM25QHXX
#define EN25Q64     0XC216      //MXIC
//#define EN25Q128  0XC817
#define EN25Q256    0XEF18extern u16 EN25QXX_TYPE;                  //定义EN25QXX芯片型号        #define  EN25QXX_CS      PBout(14)       //EN25QXX的片选信号//指令表
#define EN25X_WriteEnable       0x06
#define EN25X_WriteDisable      0x04
#define EN25X_ReadStatusReg1    0x05
#define EN25X_ReadStatusReg2    0x35
#define EN25X_ReadStatusReg3    0x15
#define EN25X_WriteStatusReg1   0x01
#define EN25X_WriteStatusReg2   0x31
#define EN25X_WriteStatusReg3   0x11
#define EN25X_ReadData          0x03
#define EN25X_FastReadData      0x0B
#define EN25X_FastReadDual      0x3B
#define EN25X_PageProgram       0x02
#define EN25X_BlockErase        0xD8
#define EN25X_SectorErase       0x20
#define EN25X_ChipErase         0xC7
#define EN25X_PowerDown         0xB9
#define EN25X_ReleasePowerDown  0xAB
#define EN25X_DeviceID          0xAB
#define EN25X_ManufactDeviceID  0x90
#define EN25X_JedecDeviceID     0x9F
#define EN25X_Enable4ByteAddr   0xB7
#define EN25X_Exit4ByteAddr     0xE9void EN25QXX_Init(void);
u16  EN25QXX_ReadID(void);                  //读取FLASH ID
u8 EN25QXX_ReadSR(u8 regno);             //读取状态寄存器
void EN25QXX_4ByteAddr_Enable(void);     //使能4字节地址模式
void EN25QXX_Write_SR(u8 regno,u8 sr);   //写状态寄存器
void EN25QXX_Write_Enable(void);        //写使能
void EN25QXX_Write_Disable(void);       //写保护
void EN25QXX_Write_NoCheck(u8* pBuffer,u32 WriteAddr,u16 NumByteToWrite);
void EN25QXX_Read(u8* pBuffer,u32 ReadAddr,u16 NumByteToRead);   //读取flash
void EN25QXX_Write(u8* pBuffer,u32 WriteAddr,u16 NumByteToWrite);//写入flash
void EN25QXX_Erase_Chip(void);          //整片擦除
void EN25QXX_Erase_Sector(u32 Dst_Addr);    //扇区擦除
void EN25QXX_Wait_Busy(void);               //等待空闲
void EN25QXX_PowerDown(void);           //进入掉电模式
void EN25QXX_WAKEUP(void);              //唤醒

对于移植上来讲一般要改如下两个
//SPI1_Init(); //初始化SPI,每个人可能都会有所不同
//if(EN25QXX_TYPE==EN25Q256) //SPI FLASH为每个人对应的片外flash不同

在flash.c文件中添加u16 EN25QXX_TYPE=0;    //默认是0//4Kbytes为一个Sector
//16个扇区为1个Block
//EN25Q128
//容量为16M字节,共有256个Block,4096个Sector
//初始化SPI FLASH的IO口
void EN25QXX_Init(void)
{u8 temp;GPIO_InitTypeDef  GPIO_InitStructure;__HAL_RCC_GPIOB_CLK_ENABLE();           //使能GPIOB时钟//PB14GPIO_InitStructure.Pin=GPIO_PIN_14;            //PB14GPIO_InitStructure.Mode=GPIO_MODE_OUTPUT_PP;  //推挽输出GPIO_InitStructure.Pull=GPIO_PULLUP;          //上拉GPIO_InitStructure.Speed=GPIO_SPEED_FAST;     //快速         HAL_GPIO_Init(GPIOB,&GPIO_InitStructure);     //初始化EN25QXX_CS=1;          //SPI FLASH不选中SPI1_Init();                          //初始化SPISPI1_SetSpeed(SPI_BAUDRATEPRESCALER_2); //设置为45M时钟,高速模式     EN25QXX_TYPE=EN25QXX_ReadID(); //读取FLASH ID.printf("EN25QXX_TYPE=%X\r\n",EN25QXX_TYPE);if(EN25QXX_TYPE==EN25Q256)                //SPI FLASH为EN25Q256{temp=EN25QXX_ReadSR(3);              //读取状态寄存器3,判断地址模式if((temp&0X01)==0)                  //如果不是4字节地址模式,则进入4字节地址模式{EN25QXX_CS=0;                     //选中SPI1_ReadWriteByte(EN25X_Enable4ByteAddr);//发送进入4字节地址模式指令   EN25QXX_CS=1;                      //取消片选   }}
}//读取W25QXX的状态寄存器,W25QXX一共有3个状态寄存器
//状态寄存器1:
//BIT7  6   5   4   3   2   1   0
//SPR   RV  TB BP2 BP1 BP0 WEL BUSY
//SPR:默认0,状态寄存器保护位,配合WP使用
//TB,BP2,BP1,BP0:FLASH区域写保护设置
//WEL:写使能锁定
//BUSY:忙标记位(1,忙;0,空闲)
//默认:0x00
//状态寄存器2:
//BIT7  6   5   4   3   2   1   0
//SUS   CMP LB3 LB2 LB1 (R) QE  SRP1
//状态寄存器3:
//BIT7      6    5    4   3   2   1   0
//HOLD/RST  DRV1 DRV0 (R) (R) WPS ADP ADS
//regno:状态寄存器号,范:1~3
//返回值:状态寄存器值
u8 EN25QXX_ReadSR(u8 regno)
{  u8 byte=0,command=0; switch(regno){case 1:command=EN25X_ReadStatusReg1;    //读状态寄存器1指令break;case 2:command=EN25X_ReadStatusReg2;    //读状态寄存器2指令break;case 3:command=EN25X_ReadStatusReg3;    //读状态寄存器3指令break;default:command=EN25X_ReadStatusReg1;    break;}    EN25QXX_CS=0;                            //使能器件   SPI1_ReadWriteByte(command);            //发送读取状态寄存器命令    byte=SPI1_ReadWriteByte(0Xff);          //读取一个字节  EN25QXX_CS=1;                            //取消片选     return byte;
}
//写W25QXX状态寄存器
void EN25QXX_Write_SR(u8 regno,u8 sr)
{   u8 command=0;switch(regno){case 1:command=EN25X_WriteStatusReg1;    //写状态寄存器1指令break;case 2:command=EN25X_WriteStatusReg2;    //写状态寄存器2指令break;case 3:command=EN25X_WriteStatusReg3;    //写状态寄存器3指令break;default:command=EN25X_WriteStatusReg1;    break;}   EN25QXX_CS=0;                            //使能器件   SPI1_ReadWriteByte(command);            //发送写取状态寄存器命令    SPI1_ReadWriteByte(sr);                 //写入一个字节  EN25QXX_CS=1;                            //取消片选
}
//W25QXX写使能
//将WEL置位
void EN25QXX_Write_Enable(void)
{EN25QXX_CS=0;                            //使能器件   SPI1_ReadWriteByte(EN25X_WriteEnable);   //发送写使能  EN25QXX_CS=1;                            //取消片选
}
//W25QXX写禁止
//将WEL清零
void EN25QXX_Write_Disable(void)
{  EN25QXX_CS=0;                            //使能器件   SPI1_ReadWriteByte(EN25X_WriteDisable);  //发送写禁止指令    EN25QXX_CS=1;                            //取消片选
} //读取芯片ID
//返回值如下:
//0XEF13,表示芯片型号为W25Q80
//0XEF14,表示芯片型号为W25Q16
//0XEF15,表示芯片型号为W25Q32
//0XEF16,表示芯片型号为W25Q64
//0XEF17,表示芯片型号为W25Q128
//0XEF18,表示芯片型号为W25Q256
u16 EN25QXX_ReadID(void)
{u16 Temp = 0;   EN25QXX_CS=0;                    SPI1_ReadWriteByte(0x90);//发送读取ID命令     SPI1_ReadWriteByte(0x00);       SPI1_ReadWriteByte(0x00);       SPI1_ReadWriteByte(0x00);                  Temp|=SPI1_ReadWriteByte(0xFF)<<8;  Temp|=SPI1_ReadWriteByte(0xFF);   EN25QXX_CS=1;                 return Temp;
}
//读取SPI FLASH
//在指定地址开始读取指定长度的数据
//pBuffer:数据存储区
//ReadAddr:开始读取的地址(24bit)
//NumByteToRead:要读取的字节数(最大65535)
void EN25QXX_Read(u8* pBuffer,u32 ReadAddr,u16 NumByteToRead)
{ u16 i;                                            EN25QXX_CS=0;                            //使能器件   SPI1_ReadWriteByte(EN25X_ReadData);      //发送读取命令  if(EN25QXX_TYPE==EN25Q256)                //如果是W25Q256的话地址为4字节的,要发送最高8位{SPI1_ReadWriteByte((u8)((ReadAddr)>>24));    }SPI1_ReadWriteByte((u8)((ReadAddr)>>16));   //发送24bit地址    SPI1_ReadWriteByte((u8)((ReadAddr)>>8));   SPI1_ReadWriteByte((u8)ReadAddr);   for(i=0;i<NumByteToRead;i++){ pBuffer[i]=SPI1_ReadWriteByte(0XFF);    //循环读数  }EN25QXX_CS=1;
}
//SPI在一页(0~65535)内写入少于256个字节的数据
//在指定地址开始写入最大256字节的数据
//pBuffer:数据存储区
//WriteAddr:开始写入的地址(24bit)
//NumByteToWrite:要写入的字节数(最大256),该数不应该超过该页的剩余字节数!!!
void EN25QXX_Write_Page(u8* pBuffer,u32 WriteAddr,u16 NumByteToWrite)
{u16 i;  EN25QXX_Write_Enable();                  //SET WEL EN25QXX_CS=0;                            //使能器件   SPI1_ReadWriteByte(EN25X_PageProgram);   //发送写页命令   if(EN25QXX_TYPE==EN25Q256)                //如果是W25Q256的话地址为4字节的,要发送最高8位{SPI1_ReadWriteByte((u8)((WriteAddr)>>24)); }SPI1_ReadWriteByte((u8)((WriteAddr)>>16)); //发送24bit地址    SPI1_ReadWriteByte((u8)((WriteAddr)>>8));   SPI1_ReadWriteByte((u8)WriteAddr);   for(i=0;i<NumByteToWrite;i++)SPI1_ReadWriteByte(pBuffer[i]);//循环写数  EN25QXX_CS=1;                            //取消片选 EN25QXX_Wait_Busy();                       //等待写入结束
}
//无检验写SPI FLASH
//必须确保所写的地址范围内的数据全部为0XFF,否则在非0XFF处写入的数据将失败!
//具有自动换页功能
//在指定地址开始写入指定长度的数据,但是要确保地址不越界!
//pBuffer:数据存储区
//WriteAddr:开始写入的地址(24bit)
//NumByteToWrite:要写入的字节数(最大65535)
//CHECK OK
void EN25QXX_Write_NoCheck(u8* pBuffer,u32 WriteAddr,u16 NumByteToWrite)
{                    u16 pageremain;       pageremain=256-WriteAddr%256; //单页剩余的字节数                if(NumByteToWrite<=pageremain)pageremain=NumByteToWrite;//不大于256个字节while(1){      EN25QXX_Write_Page(pBuffer,WriteAddr,pageremain);if(NumByteToWrite==pageremain)break;//写入结束了else //NumByteToWrite>pageremain{pBuffer+=pageremain;WriteAddr+=pageremain; NumByteToWrite-=pageremain;              //减去已经写入了的字节数if(NumByteToWrite>256)pageremain=256; //一次可以写入256个字节else pageremain=NumByteToWrite;       //不够256个字节了}};
}
//写SPI FLASH
//在指定地址开始写入指定长度的数据
//该函数带擦除操作!
//pBuffer:数据存储区
//WriteAddr:开始写入的地址(24bit)
//NumByteToWrite:要写入的字节数(最大65535)
u8 EN25QXX_BUFFER[4096];
void EN25QXX_Write(u8* pBuffer,u32 WriteAddr,u16 NumByteToWrite)
{ u32 secpos;u16 secoff;u16 secremain;     u16 i;    u8 * EN25QXX_BUF;    EN25QXX_BUF=EN25QXX_BUFFER;       secpos=WriteAddr/4096;//扇区地址  secoff=WriteAddr%4096;//在扇区内的偏移secremain=4096-secoff;//扇区剩余空间大小   //printf("ad:%X,nb:%X\r\n",WriteAddr,NumByteToWrite);//测试用if(NumByteToWrite<=secremain)secremain=NumByteToWrite;//不大于4096个字节while(1) {   EN25QXX_Read(EN25QXX_BUF,secpos*4096,4096);//读出整个扇区的内容for(i=0;i<secremain;i++)//校验数据{if(EN25QXX_BUF[secoff+i]!=0XFF)break;//需要擦除      }if(i<secremain)//需要擦除{EN25QXX_Erase_Sector(secpos);//擦除这个扇区for(i=0;i<secremain;i++)    //复制{EN25QXX_BUF[i+secoff]=pBuffer[i];   }EN25QXX_Write_NoCheck(EN25QXX_BUF,secpos*4096,4096);//写入整个扇区  }else EN25QXX_Write_NoCheck(pBuffer,WriteAddr,secremain);//写已经擦除了的,直接写入扇区剩余区间.                    if(NumByteToWrite==secremain)break;//写入结束了else//写入未结束{secpos++;//扇区地址增1secoff=0;//偏移位置为0     pBuffer+=secremain;  //指针偏移WriteAddr+=secremain;//写地址偏移       NumByteToWrite-=secremain;              //字节数递减if(NumByteToWrite>4096)secremain=4096;   //下一个扇区还是写不完else secremain=NumByteToWrite;         //下一个扇区可以写完了}    };
}
//擦除整个芯片
//等待时间超长...
void EN25QXX_Erase_Chip(void)
{                                   EN25QXX_Write_Enable();                  //SET WEL EN25QXX_Wait_Busy();   EN25QXX_CS=0;                            //使能器件   SPI1_ReadWriteByte(EN25X_ChipErase);        //发送片擦除命令  EN25QXX_CS=1;                            //取消片选              EN25QXX_Wait_Busy();                     //等待芯片擦除结束
}
//擦除一个扇区
//Dst_Addr:扇区地址 根据实际容量设置
//擦除一个扇区的最少时间:150ms
void EN25QXX_Erase_Sector(u32 Dst_Addr)
{  //监视falsh擦除情况,测试用   //printf("fe:%x\r\n",Dst_Addr);      Dst_Addr*=4096;EN25QXX_Write_Enable();                  //SET WEL     EN25QXX_Wait_Busy();   EN25QXX_CS=0;                            //使能器件   SPI1_ReadWriteByte(EN25X_SectorErase);   //发送扇区擦除指令 if(EN25QXX_TYPE==EN25Q256)                //如果是W25Q256的话地址为4字节的,要发送最高8位{SPI1_ReadWriteByte((u8)((Dst_Addr)>>24)); }SPI1_ReadWriteByte((u8)((Dst_Addr)>>16));  //发送24bit地址    SPI1_ReadWriteByte((u8)((Dst_Addr)>>8));   SPI1_ReadWriteByte((u8)Dst_Addr);  EN25QXX_CS=1;                            //取消片选             EN25QXX_Wait_Busy();                      //等待擦除完成
}
//等待空闲
void EN25QXX_Wait_Busy(void)
{   while((EN25QXX_ReadSR(1)&0x01)==0x01);   // 等待BUSY位清空
}
//进入掉电模式
void EN25QXX_PowerDown(void)
{ EN25QXX_CS=0;                            //使能器件   SPI1_ReadWriteByte(EN25X_PowerDown);     //发送掉电命令  EN25QXX_CS=1;                            //取消片选              HAL_Delay(30);                            //等待TPD
}
//唤醒
void EN25QXX_WAKEUP(void)
{  EN25QXX_CS=0;                                //使能器件   SPI1_ReadWriteByte(EN25X_ReleasePowerDown);  //  send W25X_PowerDown command 0xAB    EN25QXX_CS=1;                                //取消片选               HAL_Delay(30);                                //等待TRES1
}   

main.c中主函数
int buf[],TEXT_LEN=sizoef(buf);while(EN25QXX_ReadID()!=EN25Q16)           //检测不到EN25QXX{printf("EN25Q128 Check Failed!\r\n");}printf("EN25Q128 Check Success!\r\n");while (1){EN25QXX_Write(text_buf,0,TEXT_LEN);printf("发送的数据:%s\r\n",text_buf);EN25QXX_Read(buf,0,TEXT_LEN);printf("接收的数据:%s\r\n",buf);}

当存储的空间写满就不再接收了。

可以通过这两个函数对片和扇区进行清理
EN25QXX_Erase_Chip();//对片存储进行清理
EN25QXX_Erase_Sector(xx); //对扇区进行清理
完成后就可以接着接收数据了。
其本质流程相当于,你不停的写,当写满时,就无处可以写一样,

顺便介绍下flash的存储区画分,以此为参考


块从0开始的到127结束,每个块对应一段扇区,对于上面的WriteAddr:开始写入的地址(24bit) 就是对应的类似这张表进行写的读同理。

详细flash的资料参考该网址:
https://pdf.ic37.com/EON_CN/EN25Q_datasheet_12474263/EN25Q64_11_48.html

一.对HAL库片外flash操作解读相关推荐

  1. STM32F4 HAL库开发 -- SPI Flash

    一.驱动 bsp_spi_flash.c #include "THC_Board_include_h.h"/* Private define ------------------- ...

  2. 【STM32H7教程】第70章 STM32H7的内部Flash基础知识和HAL库API

    完整教程下载地址:http://www.armbbs.cn/forum.php?mod=viewthread&tid=86980 第70章       STM32H7的内部Flash基础知识和 ...

  3. STM32F407 HAL库外扩SRAM作为默认RAM使用

    项目使用STM32F407ZET6开发,但自带的RAM空间不够,所以需要外扩SRAM作为默认的RAM来使用,使用的SRAM是IS62WV51216BLL,19条地址线,16条数据线,使用的库为HAL库 ...

  4. 正点原子STM32(基于HAL库)5

    目录 SRAM 实验 存储器简介 SRAM 方案简介 硬件设计 程序设计 程序流程图 程序解析 下载验证 内存管理实验 内存管理简介 硬件设计 程序设计 程序流程图 程序解析 下载验证 SD 卡实验 ...

  5. STM32 HAL库组成概述

    STM32 HAL库概述 ## (一)HAL库设计思想 什么是HAL(Hardware Abstraction Layer)? from 百度百科: 硬件抽象层是位于操作系统内核与硬件电路之间的接口层 ...

  6. stm32f103c6t6下的HAL库搭建三种低功耗模式及实战分析(stm32通用)

    目录 三种低功耗模式介绍 睡眠模式(sleep mode) 停止模式(stop mode) 待机模式(standby mode) 总结 实战测试 个别电路原理图 功耗分析 ADC功耗大解决方案 ADC ...

  7. 【STM32H7教程】第55章 STM32H7的图形加速器DMA2D的基础知识和HAL库API

    完整教程下载地址:http://www.armbbs.cn/forum.php?mod=viewthread&tid=86980 第55章       STM32H7的图形加速器DMA2D的基 ...

  8. 如何从HAL库中找到寄存器的蛛丝马迹

    以下文章来源于:公_众_号开源电子网 读取更多技术文章,请扫码关注 如何从HAL库中找到寄存器的蛛丝马迹 对于初学者来说,有时候知其然而不知其所以然,换句话来讲,知道这个东西是这样子操作,但是不知道为 ...

  9. STM32:Flash擦除与读写操作(HAL库)

    应用平台:STM32F030F4P6 ST官方库:STM32Cube_FW_F0_V1.9.0 背景知识 绝大多数的单片机和微控制器(ARM,x86),地址空间都是以字节为单位的,也就是说一个地址是一 ...

最新文章

  1. asp.net 后台事件掉用前台js
  2. 关于目标检测你必须知道的……
  3. Ado.net连接池 sp_reset_connection 概念
  4. 区块链,一个糟糕的数据库
  5. 【学术相关】考研初试成绩出来了,然后呢...选导师!
  6. 因为爬虫失控,CTO和程序员双双被判刑
  7. oform java_客户端表单通用验证checkForm(oForm)(1)
  8. input之question
  9. 登陆126邮箱 出现 403 Forbidden 腾达路由器问题
  10. en55032最新标准下载_关于欧盟新版EMC标准EN55032的解析精编版
  11. 软件测试常问面试题,你真的会搭建测试环境吗?
  12. M2增速8%处于历史地位——解读10月货币数据
  13. web前端课程设计(HTML和CSS实现餐饮美食文化网站)静态HTML网页制作
  14. CString 和 LPCTSTR 之间的转换 及 LPSTR、LPWSTR、LPCSTR、LPCWSTR、LPTSTR、LPCTSTR的区分与转化
  15. 基于pynput的微信刷屏代码
  16. Mysql 分隔符拆分字段
  17. Solr从安装到使用 for Linux(详细教程)
  18. BQ40Z50/BQ4050/BQ40Z80 等 BQ40xxx 系列电量计外围电路设计指导
  19. openstack创建虚机的过程
  20. BeautifulSoup爬取国家政策网目标话题的10篇文章,以及基于jieba的关键字生成

热门文章

  1. iphone播客怎么上传_如何通过限制播客下载来在Mac或iPhone上节省空间
  2. 播客怎么显示无法连接到服务器呢,我的播客为什么不能进
  3. 科普贴 关于Android的音频SRC处理,音质问题
  4. 自己编的的JAVA,将我以前学的FOXBASE写的一个程序用JAVA重新编写
  5. 苹果手机壳_全包边苹果液态硅胶手机壳!品质超级无敌好!
  6. 【测试笔记】弱网环境搭建—clumsy0.2
  7. 用正则实现input输入框关键字搜索到的内容关键字高亮
  8. LimitState.RING.v3.2.a.20141.Win32_64 2CD
  9. 双馈风力发电系统仿真,背靠背双pwm变换器控制系统,具备最大功率追踪功能
  10. 计算机会计学试卷答案,首都经济贸易大学200X年计算机会计学期末考试试题.doc...