一.课程设计目的

掌握层次化建模的设计方法,能够利用学习过的知识来编写具有一定功能的电路,能够熟练使用各种开发软件完成设计的仿真和硬件实现,最终的设计能够在开发板中经过实际操作验证无误,完成整个设计流程。

二.课程设计题目描述和要求

本课程设计的代码需具有如下功能。

(1)具有24 s倒计时功能。

(2)设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。

(3)计时器为24 s递减时,计时间隔为1 s。

(4)计时器递减到零时,数码显示器不能灭灯。

三.设计思想和过程

本设计题目较简单,是计数器电路的简单变形,设计过程仅需注意不同控制信号的优先级即可完成正常的逻辑功能。

module digital(TimerH,TimerL,over,Reset,Stop,clk);

output [6:0]TimerH;

output [6:0]TimerL;

output over;

input Reset;

input Stop;

input clk;

wire [1:0]H;

wire [3:0]L;

wire clk_1;

fenpin U0 (.clk(clk),.clk_old(clk_1));

basketballtimer U1(over, H[1:0],L[3:0], Reset, Stop,clk_1);

CD4511 U2 (TimerH[6:0], {2'b00,H[1:0]});CD4511 U3 (TimerL[6:0], L[3:0]);

endmodulemodule

fenpin (clk_old, clk);

output clk_old;

input clk;

reg[24:0] count;

reg clk_old;

always @(posedge clk)beginif(count==25'b1_1001_0000_0000_0000_0000_0000)beginclk_old<=~clk_old;

count<=0;

endelsecount<=count+1;

endendmodulemodule basketballtimer (Over,TimerH, TimerL,Reset,Stop,clk_1);

output Over;

output [1:0]TimerH;

output [3:0]TimerL;

input Reset;

input Stop;input clk_1;

reg [4:0] Q;

assign Over =(Q== 5'd0);

assign TimerH=Q/10;

assign TimerL=Q%10;

always @(posedge clk_1 or negedge Reset or negedge Stop)beginif(~Reset)Q <= 5'd23;

elsebeginif(~Stop)Q <= Q;

elsebeginif(Q>5'd0)Q <= Q - 1'b1;elseQ <=Q;endendendendmodulemodule CD4511 (Y,A);

output reg [6:0]Y;

input [3:0]A;

always @(*)begincase(A)

4'd0: Y<=7'b1000_000;

4 'd1: Y<=7'b1111_001;

4 'd2: Y<=7'b0100_100;

4'd3: Y<=7'b0110_000;

4 'd4:Y<=7'b0011_001;

4 'd5: Y<=7'b0010_010;

4 'd6: Y<=7'b0000_010;

4 'd7: Y<=7'b1111_000;

4 'd8: Y<=7'b0000_000;

4'd9: Y<=7'b0010_000;

default:Y<=7'b1000_000;

end caseend

endmodulemodule tbdigital;

wire [6:0]TimerH;

wire [6:0]TimerL;

wire over;

reg Reset;reg Stop;reg clk;initialbeginclk=0;

Reset=1;Stop=1;

#10 Reset=0;

#20 Reset=1;

#200 Stop=0;

#50 Stop=1;@ (posedge over);

#10 $stop;

endalways #5 clk=~clk;

digital idigital (TimerH, TimerL, over,Reset, Stop, clk);

endmodule

电路图:

视频链接:

https://m.youku.com/mid_video/id_XNTkyNjE2NjQ4MA==.html?x&sharefrom=android&scene=long&playMode=pugv&sharekey=59343692c3e04135d9382a09767f3f538

《Verloge HDL数字系统设计及仿真》选题五——篮球24秒计时相关推荐

  1. 选题五 篮球24秒计时

    一.课程设计目的        掌握层次化建模的设计方法,能够利用学习过的知识来编写具有一定功能的电路,能够熟练    使用各种开发软件完成设计的仿真和硬件实现,最终的设计能够在开发板中经过实际操作验 ...

  2. 基于51单片机控制的篮球比赛计时、计分系统设计与仿真(含设计图和C语言程序源码)

    1. 设计任务: 2. 设计说明 2.1 需求分析 2.1.1 计分系统: 2.1.2 计时系统: 2.2 原理分析 2.3 总体设计 2.4 软硬件设计 2.4.1 硬件设计 2.4.2 软件设计 ...

  3. 【毕业设计】51-基于Multisim的篮球比赛24秒倒计时计时器的设计(仿真工程+相关芯片资料+答辩论文)

    typora-root-url: ./ [毕业设计]51-基于Multisim的篮球比赛24秒倒计时计时器的设计(仿真工程+相关芯片资料+答辩论文) 文章目录 typora-root-url: ./ ...

  4. 《Verilog HDL与FPGA数字系统设计》书籍试读体验

    文章目录 前言 第一部分:数字系统基础 第二部分:数字系统设计实践 第三部分:可编程片上系统 总结 前言 最近参加一个面包板社区的图书试读活动:<Verilog HDL与FPGA数字系统设计&g ...

  5. verilog学习|《Verilog数字系统设计教程》夏宇闻 第三版思考题答案(第五章)

    <Verilog数字系统设计教程>夏宇闻 第三版思考题 答案合集 :个人主页verilog专栏中 1.为什么建议在编写Verilog模块程序时,如果用到 if 语句建议大家把配套的else ...

  6. [渝粤教育] 西南科技大学 现代数字系统设计 在线考试复习资料2021版

    现代数字系统设计--在线考试复习资料2021版 一.单选题 1. SOPC的中文意思是(    ). A.电子设计自动化 B.硬件描述语言 C.片上可编程系统 D.片上系统 答案:看左边查询 2.XP ...

  7. [渝粤教育] 西南科技大学 现代数字系统设计 在线考试复习资料2021版(1)

    现代数字系统设计--在线考试复习资料2021版 一.单选题 1. SOPC的中文意思是(    ). A.电子设计自动化 B.硬件描述语言 C.片上可编程系统 D.片上系统 答案:看左边查询 2.XP ...

  8. 在VIVADO上实现的非常简易的RISC-V CPU设计(来自《Verilog数字系统设计》夏宇闻著)

    在VIVADO上实现的非常简易的RISC-V CPU设计 一.实验要求重述: 1.实验目的 2.实验要求: 二.学习准备: 1.什么cpu? 2.cpu需要具有哪些部件? 3.什么是RISC_CPU? ...

  9. 电机调速设计并用matlab仿真,基于MATLAB的双闭环调速系统设计与仿真

    名称 基于MATLAB的双闭环调速系统设计与仿真 设计内容和意义 摘要 转速.电流双闭环控制直流调速系统是性能很好.应用最广的直流调速系统.根据晶闸管的特性,通过调节控制角α大小来调节电压.基于设计题 ...

最新文章

  1. 【opencv】边缘高斯模糊(canny+dilate+GaussianBlur)Python实现
  2. C++explicit关键字
  3. VHDL六层电梯控制器及仿真
  4. 用promise封装ajax_vue实践---vue结合 promise 封装原生ajax
  5. c++中求解非线性方程组_齐次线性方程组的基础解系的简便算法
  6. Centos用户和用户组管理
  7. 程序员面试金典 - 面试题 16.18. 模式匹配(逻辑题)
  8. 金立旗下18辆车产被司法拍卖 成交额近500万元
  9. 拼多多发布“3.8女神节”数据:超过70%女性自购鲜花
  10. finally 嵌套_学习 Rust【2】减少代码嵌套
  11. MatLab的排序函数-sort
  12. 如何利用Matlab完成数字1-9的语音识别
  13. 关于InnerHTML存在的问题
  14. Qt编写自定义控件15-百分比仪表盘
  15. 今天没白过之《Linux的变量》
  16. 浅蓝色学校网站模板_学校网站源码_适用高中,中学,小学学校网站建设
  17. android 接收视频流,Android 获取高清(4K)视频流图片
  18. 基于51单片机的教室人数检测
  19. WeWork中国实现全面本土化运营;巴黎欧莱雅沙龙专属全球首家旗舰沙龙开业 | 美通企业日报...
  20. matlab图像处理(图像)

热门文章

  1. Java中使用SOAP的方式调用天气预报webservice的接口实例
  2. 用C语言在NDS上编写程序
  3. 天线方向图的形成原理及用MATLAB画出天线方向图
  4. TestDirector的安装和使用---综合汇总
  5. 高斯-克吕格(Gauss-Kruger)投影与UTM投影的区别
  6. LNMP一键安装包 – 简单单单配置好linux服务器
  7. 关于身份证图片加密安全技术
  8. Python自动化生成代码以及验证代码汇总
  9. 激光雷达和3D点云算法
  10. 计算机配置动态硬盘,如何把硬盘的类型从动态变成基本?