此次采用有符号位宽为6bit的输入变量in_a和in_b。其中最高位为符号位,“0”表示正数,“1”表示负数。低5bit表示小数位。所有数据范围均为-1~1之间。
一、正数×正数
正数乘法可直接运算,去除乘数和被乘数的符号位进行相乘,结果为10bit(如果位数不够,最高位加0),符号位为乘数和被乘数符号位取异或。
如图为:0.78125(011001)×0.75(011000)

结果位宽为11bit。
二、正数×负数
1、进行乘法之前,首先将负数转化为正数再进行运算(补码)。
方法:负数整个按位取反再加一。
2、再按两个正数相乘的方法进行运算(即乘数和被乘数去除符号位相乘)(如果不够,根据符号位添加,如果符号位为“1”,则最高位添加“1”)
3、结果的符号位也是乘数,被乘数取异或运算。
4、如果符号位为“1”,则步骤2相乘的结果最高位补位为“1”,符号位为“0”,最高位补“0”,凑够10bit位宽(如果步骤2结果本来为10bit,则不需要添加)。
5、添加符号位,结果为11bit,最高位符号位,低10bit为小数位。
如图:eg:111001(-0.21875)×011000(0.75)

ps:负数×负数与上述方法一样,不一一列举。

FPGA定点小数二进制乘法运算相关推荐

  1. FPGA零基础学习:基于FPGA的二进制转BCD设计(附代码)

    FPGA零基础学习:基于FPGA的二进制转BCD设计(附代码) 本系列将带来FPGA的系统性学习,从最基本的数字电路基础开始,最详细操作步骤,最直白的言语描述,手把手的"傻瓜式"讲 ...

  2. 定点数的除法C语言,FPGA定点小数计算(二)——除法运算

    0 引言 在四则运算中,除法最为复杂,在时间上和空间上的开销都比较大.因此很多算法都极力避免进行除法运算,或者采用其他的方案来代替除法运算.但是,除法运算作为基本的四则运算之一,在很多情况下依旧是不可 ...

  3. 8086汇编4位bcd码_[走近FPGA]之二进制转BCD码

    注:本文由不愿透露姓名的 @Bulingxx 撰写.以下为正文. 在上一篇文章中介绍了数码管如何在FPGA开发板上实现动态显示,其文章链接如下: 人生状态机:[走近FPGA]之数码管动态显示​zhua ...

  4. FPGA定点小数计算(Verilog版)第七篇——平方根倒数运算(使用John Carmack方法)

    更多精彩内容,请微信搜索"FPGAer俱乐部"关注我们. 有一段时间没有写博客了,突然想起前一段时间挖的坑,所以决定今天来填一下--其实,这一篇原本打算写的是采用牛顿迭代法的平方根 ...

  5. 【Verilog基础】定点小数的乘法运算

    文章目录 一.字长基本问题 二.溢出问题 三.十进制下非负定点小数的乘法 四.二进制下定点小数的乘法 参考 一.字长基本问题 字长(位宽)和小数部分字长共同构成了定点数的两个要素.以wl表示字长,fl ...

  6. 2.3 二进制乘法运算(定点原码两位乘)

    2.3.2 定点原码两位乘 讨论x * y = z 采用原码两位乘法,已知x和y,如何求得z 原码两位乘法和原码一位乘法一样,符号位不参加运算 部分积和被乘数x均采用三位符号,乘数y末位每次要加一个c ...

  7. verilog存小数_FPGA定点小数计算(Verilog版)第二篇——乘法运算

    发布一下这两天的成果,用Verilog实现的FPGA定点小数计算,一共有N篇,包括加法.乘法.除法.平方根.平方等--目前加法.乘法已完成调试,除法.平方根和平方等尚未完成--时间仓促,此次博文直接贴 ...

  8. FPGA数字信号处理之乘法器

    FPGA数字信号处理之乘法器 软.硬件配置 system generator仿真 rom存储器实现正弦输入 乘法器模块 其他模块 乘法器IP核 3 \sqrt{3} 3 ​ / 2 用定点小数如何表示 ...

  9. FPGA较传统CPU强在哪里?

    微软数据中心里的服务器仍然由传统的英特尔 CPU 主宰,但据报道,微软现在正计划采用现场可编程阵列或现场可编程门阵列(FPGA)来代替原有的处理器架构,让微软可以采用自主软件专门修改并为自己服务. 一 ...

最新文章

  1. JVM 与 Linux 的内存关系详解
  2. 180.4. WebSphere Commerce Engerprise 7.0 Feature Pack 2.iso
  3. c++ 文件读写_Java文件读写的常用技术
  4. 在Ubuntu Server 12.04 LTS上搭建可远程访问的Postgresql 9.1环境
  5. android恶意扣费类程序,10款APP违法有害 主要危害涉及恶意扣费等5类
  6. 可扩展的SockBase设计和实现(1)
  7. C++——必须使用复制构造函数的情况
  8. springboot 集成mybatis_Spring Boot 集成Mybatis实现多数据源
  9. Linux通过网卡驱动程序和版本号的信息
  10. .net remoting与web service的区别
  11. Druid:数据库连接池实现技术 1
  12. js 连mysql 延后_javascript – 异步并等待节点js中的MySQL调用
  13. steam错误代码 -118 ; 443/80或其他端口被占用,请关闭占用该端口的进程后再点击启动服务 ; 关闭端口进程
  14. Android开发-魔窗DeeplinkDemo-AndroidStudio
  15. Memory Leak
  16. 华为交换机命令 端口速率_华为S5700交换机的端口QOS限速问题
  17. 桌面计算机图标双击打不开了,桌面图标打不开,教您解决桌面图标打不开的方法...
  18. iOS开发 适配iOS10
  19. Jini技术常见问题解答
  20. python猜单词游戏实验原理_猜单词游戏课程设计报告

热门文章

  1. win10更新后输入密码后无限循环解决方法
  2. AI正在让很多行业的红利消失
  3. c# 加壳工具推荐-Virbox Protector .NET 版
  4. Java调用JS,JS调用JAVA
  5. JavaEE初阶系列 -开头篇:计算机是如何工作的(为下一篇的线程做铺垫)
  6. 【ML】第九章 无监督学习技术
  7. 基于Deep Learning 的视频识别方法概览
  8. 机器学习笔记4 古诗词自动生成 RNN with Keras
  9. Butterfly主题安装文档(二)之主题配置
  10. 堵你妹游戏开发之六 游戏的运行逻辑