打开QuartusII13.0软件,建立好工程后,调用软件中的IP核ROM
在调用IP核ROM 之前先创建用与初始化ROM的mif文件
1.file -> new ->Memoryfiles -> memory Initialization file,选择确定
然后 点击file->save as保存到工程目录中
2.打开excel表格,利用excel表格的自动填充功能,生成0~127,和127 ~ 0共256个数据,将数据复制,然后选中mif文件中所有的单元,右键paste
3.配置IP核,选择tools -> MegaWizard ->next

4.搜索rom,将其存储到相应的文件夹中

5可以修改参数,然后点击next

6找到刚刚开始创建的mif文件,然后点击next,然后一直点击next,最后点击finish,这样rom就配置好了

7.将刚刚配置好的rom设置为工程顶层,编译
8为ROM编写testbench文件

`timescale 1ns/1ns
`define clk_period 20
module ROM_tb;reg [7:0] addr;
reg clk;
wire [7:0] q;
integer i;ROM ROM(.address(addr),.clock(clk),.q(q));initial clk = 1'b1;
always #(`clk_period/2) clk = ~clk;initial beginaddr = 0;for(i=0;i<255;i=i+1)begin#(`clk_period + 1);addr = addr + 1;end#(`clk_period * 50);  $stop;
endendmodule

8.调用modelsim仿真

可以看出读出来的数据延迟两拍
9.如果想要观察输出的q的模拟波形,则需要右键选择format,再选择analog
然后点击预览全局,则可以看到三角波

10.用小梅哥的MIF小精灵软件生成存储宽度和存储深度均为8位的正弦波mif文件,然后编辑刚刚创建好的rom,把正弦波mif文件添加进来,显示正弦波时调整正弦波的位置,format ->analog(custom) 调整范围
11.在rom配置界面勾选,允许使用Signaltap工具

12.新建一个地址发生模块,作为顶层模块

module ROM_top(clk,rst_n,q);input clk;input rst_n;output [7:0]q;reg [7:0] addr;always@(posedge clk or negedge rst_n)if(!rst_n)addr <= 8'd0;else addr <= addr + 1;rom rom(.address(addr),.clock(clk),.q(q));endmodule

13分配引脚后,全编译,新建如下的文件

14.进入这个工具的界面,在Signal Configuration中选择clock右边的三个点,出现以下界面

15在中间空白处右键选择add node添加你要观察的信号

16.配置完成后,保存到工程目录下

17.可以选择sample depth为4K

18.下载程序到开发板中,然后再打开Signal Tap工具,选择循坏抓取

19选择q,右键选择Busdisplay format -> unsigned Bar chart或者unsigned line chart ,右键使波形变得更密,左键使波形变得更疏

20.如果想要在线更改波形的数据,选择Tools -> In Systems memory content
editor

QuartusII13.0软件Signaltap工具的使用相关推荐

  1. 【转】VC6.0附带小工具软件一览

    工欲善其事,毕先利其器.今吾将VC6.0下的工具小试一遍,略知其功用,以备不时之需.简略作以总结,欲与众人共飨之. VC6.0附带工具软件: (1)ActiveX Control Test Conta ...

  2. 佳铁怎样传输程序_佳铁传输4.0工具下载|佳铁传输4.0软件 4.0 官方最新版

    佳铁传输4.0软件是一款支持与机床进行串口通信连接.还可以进行NC程序验证,显示详细的模拟运动轨迹,便捷实用,欢迎有需要的朋友前来jz5u下载使用. 佳铁传输软件特色 1.通信特性 WinDNC 系统 ...

  3. Revo Uninstaller Pro(软件卸载工具)官方中文版V4.4.2.0 | 万能卸载软件下载 | 软件卸载工具哪个好?

    Revo Uninstaller Pro 是一款效果超赞的顶级电脑系统软件深度卸载工具,官方售价39美元,拥有安全.中等.高级共3种卸载模式,可以针对不同的软件进行快速安全卸载或者高级的深度扫描彻底卸 ...

  4. IObit Uninstaller软件卸载工具 v10.4.0.11

    介绍: IObit Uninstaller 是一款国外优秀的软件卸载工具,具有强制卸载.批量卸载.安装监视器.卸载Windows更新补丁.移除浏览器工具栏和插件.软件健康检查,文件粉碎功能. foru ...

  5. [Windows] 电脑专属后花园 HideUL软件隐藏工具v1.0便携版

    如下图所示:这一堆乱七八糟的东西,看起来又特难受-- 下载:https://download.csdn.net/download/mo3408/87961003 更有甚者,如果我们在电脑安装了一些比较 ...

  6. 软件打包工具2.0版本

    软件打包工具 1.软件界面 1.1.软件打开 1.2.打开脚本 2.菜单说明 2.1.文件 2.2.打包 2.3.视图 2.4.选项 2.5.帮助 3.软件演示 4.软件下载地址以及软件运行示例 1. ...

  7. ActionScript3.0程序开发工具

    做为程序员很重要一点你要尽量使用单一的开发工具,可以进行 AS3.0(ActionScript 3.0)开发工具已经开始不断增加,我列举一下我知道的可以写AS3代码的开发工具:第一个就要说记事本,AS ...

  8. Windows SharePoint Services 3.0编码开发工具和技巧(Part 1 of 2)

    转:http://blog.csdn.net/mattwin/article/details/2074984 WSSv3 Technical Articles_Windows SharePoint S ...

  9. Valgrind ---内存调试,内存泄漏检测以及性能分析的软件开发工具

    Valgrind是一款用于内存调试.内存泄漏检测以及性能分析的软件开发工具.Valgrind这个名字取自北欧神话中英灵殿的入口. 一般使用方式  valgrind --leak-check=full ...

最新文章

  1. shell处理curl返回数据_shell神器curl用法笔记
  2. 使用Node.JS监听文件夹变化
  3. UIKit 框架之UIActionSheet
  4. C语言各种类型数据的输出显示
  5. Redis 学习---(12)Redis HyperLogLog
  6. Ergo生态:首个算法稳定币SigmaUSD正式启动
  7. NO.4 Android开发中常用框架及工具
  8. flink checkpoint 恢复_Apache Flink 管理大型状态之增量 Checkpoint 详解
  9. Trace32 加载高通 ramdump
  10. 微信小程序报 47001 - data format error hint
  11. 【保研记录】2020年信工所二室(第三批)预推免面试经验分享
  12. 我的世界风格字体 艺术字生成 Textcraft的介绍及使用
  13. catia如何单击停止捕获_CATIA打开文件时显示单击确定终止
  14. 金错刀讲小米产品实战
  15. OpenCV合并图片cv2.add、无缝连接图片cv2.addWeighted、图片克隆cv2.seamlessClone 效果对比、按位运算bitwise_and
  16. 苏宁大数据怎么运营_苏宁大数据离线任务开发调度平台实践
  17. c语言猜拳游戏石头剪刀布,模拟剪刀石头布猜拳游戏
  18. 经典黑白搭配 现代简约风格设计美学精神
  19. NIFI源码学习-(五、3)NIFI集群的FlowFile负载均衡实现-FlowFile传递
  20. 推荐几款好用的HTML编译器给大家!

热门文章

  1. swift 听筒模式_Swift的建设者模式
  2. 服务器物理机备份,利用VMware免费工具对物理服务器进行整机备份
  3. 间歇性努力,不是真正的努力
  4. 城市列表取汉字的第一个字的首字母并排序功能
  5. python 学习指南_Python学习指南
  6. 如何度过大学四年(计算机专业)
  7. jQuery实现广告弹窗
  8. 计算机函数总和怎么操作,Excel 2007:巧用函数公式计算总和
  9. 彭于晏又要祸害姑娘了:自律的人有多可怕?
  10. 这样提案,设计比较容易落地