前言:因为我跟着学习的老师,使用的是行业内很久以前在用的联调工具,因为目前我们的机器中都是ModelSim Win64,无法实现Debussy与Modelsim联调实现 nWave的功能,又作者这样做去兼容64的软件:ModelSim+Debussy联调,对于不熟悉的初学者来说十分不友好,让人云里雾里!而且我并没有看到原有脚本带来的便利性!

解决思路:既然无法联调64位的Modelsim,那就再安装一个32位的Modelsim,我的电脑目前是Win10 64位系统,完全能够兼容Modelsim SE10.1 Win32的仿真软件!

目录

1.Modelsim安装

2.联调

2.1!!!重要修正:

2.2 修正:直接设置到 “系统变量”和“bin目录下”

2.3 关键一步:

修改到目录:D:\D\EDA\Debussy\bin

2.4 其他步骤照常

2.然后按照第3.测试软件 移动出去的目的是:验证我们自己的run.bat能够自己生成.fsdb文件,这是Debussy能够查看Modelsim仿真波形的关键!!!

3.运行run.bat,成功,没有任何错误

4.查看波形

5.大功告成!!!!

多熟悉脚本代码!!!


1.Modelsim安装

Win32软件:链接: 百度网盘 请输入提取码 提取码: Sean

按照下面博客的步骤安装即可: http://t.csdn.cn/aECJ8 Modelsim 安装步骤详解兄弟抱一下~的博客-CSDN博客modelsim安装

2.联调

1.首先严格按照《1.Debussy安装 与 modelsim与debussy联调环境的搭建》博客中的步骤,完成:1.Debussy安装、2.modelsim与debussy联调环境的搭建。

2.1!!!重要修正:

关于2.modelsim与debussy联调环境的搭建中的第一点:是错误的,环境变量配置错误,会导致在后面运行windows 的bat批处理文件失效!!!

2.2 修正:直接设置到 “系统变量”和“bin目录下”

系统变量和环境变量的区别如下:http://t.csdn.cn/zZLd1

2.3 关键一步:

之前这样不行

修改到目录:D:\D\EDA\Debussy\bin

2.4 其他步骤照常

特别是关于环境变量的配置,我的这个是成功的,有些博客,如前言 中的就是不行的!

2.然后按照第3.测试软件 移动出去的目的是:验证我们自己的run.bat能够自己生成.fsdb文件,这是Debussy能够查看Modelsim仿真波形的关键!!!

3.运行run.bat,成功,没有任何错误

有信号!!!!!

4.查看波形

选择1 2 3信号,点击apply、ok

5.大功告成!!!!

辛苦了一夜+一白天,真的不容易,终于搞定了,可以继续推进了!!

多熟悉脚本代码!!!

2.Debussy安装 与 modelsim与debussy联调环境的搭建相关推荐

  1. 1.Debussy安装 与 modelsim与debussy联调环境的搭建

    目录 1.Debussy安装 新增说明:请先阅读<2.Debussy安装 与 modelsim与debussy联调环境的搭建>再返回来看这篇文章的具体步骤,目前已完全解决问题! 博客链接: ...

  2. modelsim与debussy联调环境的搭建

    为了方便查看波形,找来了一款软件--debussy,它的一个优点是任你查看设计内信号,只需一个波形文件,如FSDB文件.而不用像modelsim那样想看某些信号,添加了之后还要重新编译仿真,浪费了很多 ...

  3. Linux的安装与Linux下PHP开发环境的搭建(LAMP)

    Linux的安装以及PHP环境的搭建 引言: 对于沉迷于windows数年的用户(比如笔者)来说,Linux中的红帽和utunbu虽然看起来和windows比较像,但是操作起来却是大不相同,需要一个适 ...

  4. unittest安装教程_unittest框架与自动化测试环境的搭建

    1.unittest框架简介 首先以selenium IDE录制的一段代码为例(脚本的录制请参考其他文档),说明unittest框架的使用. 图 1 要使用unittest框架,首先需要import ...

  5. modelsim与debussy的联合仿真

    本文主要讲述的是 modelsim与debussy的联合仿真. 前提:已经安装好 modelsim和debussy软件. 步骤: 1.将Debussy安装目录下share\PLI\modelsim_p ...

  6. ModelSim 与Debussy联调

    ModelSim 与Debussy联调 seuchenrui@126.com Windows环境下的Verilog仿真调试工具,也就那么几个: Mentor的ModeLsIM Xilinx的ISIM ...

  7. Modelsim与debussy联合仿真

    Modelsim与debussy联合仿真 modelsim是很好的波形查看工具,而debussy查看代码就非常方便.两种工具相结合各取其长处,对fpga代码的编写和仿真就非常方便,极大提好效率. 步骤 ...

  8. 【modelsim和debussy】设置

    1.debussy版本对应的是debussy 5.4V9,modelsim使用的是10.1a 2.需要注意64bit的modelsim,如64bit-10.2C和debussy不兼容,无法识别nova ...

  9. cadence安装完怎么打开_Linux 环境下Vivado与Cadence仿真工具联合仿真环境的搭建

    在之前本公众号写过两篇关于工具更新对仿真调试提高效率的文章,[干货]推荐一款FPGA仿真调试鸟枪换炮的工具!本文就介绍其中一种仿真环境的搭建过程.后续还有VCS+Verdi环境的安装介绍,敬请期待. ...

最新文章

  1. windows10 Selenium Chrome 驱动安装
  2. Spring使用到了那些接口/第三方框架
  3. 系统学习Spring之Spring in action(二)
  4. hdu4882 水贪心
  5. loader.asm 注释
  6. 【推荐】新冠肺炎的最新数据集和可视化和预测分析(附代码)
  7. linux nginx 配置端口访问,Linux入门教程:ubuntu 16.04配置nginx服务器实现一个IP一个端口多个站点,ubuntunginxNginx 使用异步...
  8. mysql的脚本默认存_MySQL修改默认存储引擎的实现方法
  9. 蚂蚁金服发布眼纹识别技术,背后的原理是怎样的?
  10. 进度条ProgressBar及ProgressDialog
  11. 静态HTML模板渲染
  12. CentOS官网 安装包下载
  13. 解析android多语言与自定义字体
  14. Pr:Lumetri 范围
  15. UG/NX二次开发 选择坐标系控件 UF_UI_specify_csys
  16. LVS负载均衡—基于Keepalived做高可用
  17. 如何让网站被百度快速收录,搜索引擎入站
  18. 初级软件测试工程师的面试
  19. Android无障碍 点击,无障碍点击器下载-致无障碍点击 安卓版v1.0-pc6手机下载
  20. 微博文摘——如何改善繁琐的运维工作

热门文章

  1. guido python_Guido老爹谈Python的未来
  2. 一个刚看到的广告创意
  3. java基础之封装数据类型
  4. 集群和分布式的区别?
  5. Word无法启动转换器mswrd632.wpc
  6. ​超级解读!海外上市之VIE构架浅析
  7. HarmonyOS简介
  8. C语言-单词分析解析
  9. 简易黑客初级教程:黑客技术,分享教学
  10. [IINA排错 | 已解决] 播放视频声音变为杂音