之前群里有一些关于在Vivado中IP综合时出现各种问题,大部分通过reset_project这个TCL命令解决,今天就简单分析一下reset_project这个命令的作用。

reset_project和reset_project -exclude ip作用

  reset_project 用于重置当前项目重置为开始状态,清除在综合,模拟,实现和write_bitstream过程中创建的各种输出文件,包括临时文件。不会改动工程中的约束和源文件。一般不会影响功能,也不会改善时序。

  -exclude_ips : 不去清理IP 目录下的文件。

  通过上面的操作之后,一些IP的文件操作,比如从别人哪里拷贝的工程,一些文件权限不够、之前生成了一些文件新编译后不能替换源文件等操作、一些不知名的报错,无法解决等问题,都可以通过上面的操作尝试解决。

压缩工程

  除了上面综述的作用以外,reset_project还可以压缩工程的大小,下面看下效果(演示示例,不代表所有工程)。

  下图为某工程运行完成之后占用的资源,占用了176M。

  对工程运行reset_project命令后如下图所示,资源占用105M,直接减少72M,也就是减少了40%!这个压缩量还是比较可观的。

影响

  上面说了很多这个命令的优点,可以解决一些特殊错误,可以压缩空间,那么是不是所有情况我都可以使用这个命令呢?答案是。。。。
  reset_project命令后就是把里面的综合和实现清空,包括ooc下面的IP核等都清掉,这样就只保留了最必要的文件,从而压缩了占用空间。但是这样也带来一个问题,如果要重新产生一个可用的版本,需要的时间会更长,这个操作实际上也就是用空间换取了时间,所以大家使用前要衡量下,不要随便用,如果你的工程比较大,那么恭喜你,可以获得一天的摸鱼时间。

【Vivado那些事】关于reset_project和reset_project -exclude ip使用辑器相关推荐

  1. 【Vivado那些事】关于reset_project和reset_project -exclude ip使用

    之前群里有一些关于在Vivado中IP综合时出现各种问题,大部分通过reset_project这个TCL命令解决,今天就简单分析一下reset_project这个命令的作用. reset_projec ...

  2. 【Vivado那些事】Xilinx 7系列时钟结构详解

    Xilinx 7系列时钟结构 xilinx 的 FPGA 时钟结构,7 系列 FPGA 的时钟结构和前面几个系列的时钟结构有了很大的区别,7系列的时钟结构如下图所示. Clock Region:FPG ...

  3. 【Vivado那些事】Vivado中常用的快捷键(二)其他常用快捷键

    主要包括Ctrl+Q键.Ctrl+E键.F6键.F1键. Ctrl+Q键 Ctrl+Q键用于显示或者隐藏导航栏(Flow Navigator),如下图所示,在需要以更大视图显示其他窗口时是很方便的. ...

  4. 【Vivado那些事】简谈FPGA比特流结构

    [Vivado那些事]简谈FPGA比特流结构 比特流是一个常用词汇,用于描述包含FPGA完整内部配置状态的文件,包括布线.逻辑资源和IO设置.大多数现代FPGA都是基于SRAM的,包括Xilinx S ...

  5. vivado快捷键设置 放大代码_【Vivado那些事】Vivado中常用的快捷键(二)其他常用快捷键...

    主要包括Ctrl+Q键.Ctrl+E键.F6键.F1键. Ctrl+Q键 Ctrl+Q键用于显示或者隐藏导航栏(Flow Navigator),如下图所示,在需要以更大视图显示其他窗口时是很方便的. ...

  6. 【Vivado那些事】Xilinx的7系列的SRCC和MRCC

    ​Xilinx的7系列时钟输入有SRCC和MRCC.在手册上有描述,SRCC可用于本时钟区域,MRCC用于本时钟区域和相邻时钟区域.单看这个就有些困惑了,那难不成还连接不到全局时钟了.官方手册中有下面 ...

  7. 工具善其事,必先被苦逼的其器所钝伤然后打磨之才能利其器

    人品之差,差于上青天,写一段Ext,把eclipse和tomcat搞得不听使唤了不说,三下五除二立即从rar文件里面把项目和开发工具重新归位,依然不见其有所好转,加上公司电脑卡之又卡,遂二话不说,拿起 ...

  8. Vivado报错:[Runs 36-527] DCP does not exist,generate Output Products MIG ddr3 IP核后报错DCP问题解决

    Vivado报错:[Runs 36-527] DCP does not exist_烦恼诗集#的博客-CSDN博客 先参考这个文档解决, 问题描述:综合工程时,某个IP文件被标红,出现[Runs 36 ...

  9. vivado 如何创建工程模式_用Tcl定制Vivado设计实现流程

    原标题:[Vivado使用误区与进阶]用Tcl定制Vivado设计实现流程 上一篇<Tcl在Vivado中的应用>介绍了Tcl的基本语法以及如何利用Tcl在Vivado中定位目标.其实Tc ...

最新文章

  1. javascript2秒后再执行_停车后5秒,车祸发生了!高速公路上你别再这样做了!| 一线微观...
  2. DFS:图的联通块 AOJ-0118 Property Distribution
  3. asp.net面试题收集[2006.4.28更新]
  4. TensorFlow安装-windows系统
  5. 深入浅出javascript(二)函数和this对象
  6. Frame和Iframe横向滚动条的解决方案
  7. sql交叉报表实例(转)
  8. Hadoop的I/O操作
  9. 安卓逆向系列教程 4.8 去广告 II
  10. Python文件的读取与写入
  11. 有关风向及风向处理的笔记
  12. 51nod 1022 石子归并 V2(四边形不等式)
  13. 2020h黑苹果 y7000p_黑苹果安装教程Y7000P
  14. 重庆python爬虫培训_PYTHON爬虫工程师
  15. html怎么设置字体为微软雅黑,css如何设置字体为微软雅黑
  16. python开发面试自我介绍_面试中怎样做一个精彩的自我介绍
  17. 软件项目管理考试指南—By XJTUSE【YJQ】
  18. 薅羊毛php源码,薅羊毛软件-抢福袋源码分享
  19. 手写输入---随手写
  20. 小程序canvas画入圆形图片

热门文章

  1. 姿态解算(用于飞行器或ROV等)的基本思路和数学原理
  2. 阿里chaosblade工具实践
  3. msu文件无法运行_msu文件怎么安装【使用步骤】
  4. CDH6.0.1高可用
  5. 各大著名公司移动端页面 - 导航的实现【学习点干货】
  6. 使用Golang开发一个本地代理
  7. 葛兰岱尔3D/BIM/GIS轻量化引擎直接支持PTC Creo和Siemens Nx软件
  8. 前端与移动开发----购物商城案例(品优购PC项目上)
  9. 视频教程-雪狐CentOS7云服务器部署微信小程序商城系统(宝塔面板)-微信开发
  10. Android佳博网络打印机例子