要求:利用锁相环IP核将系统的50MHz进行分频,同时也可以改变占空比(具体数值不重要)

为什么要用ODDR2?

如果不用,PLL_ip核得到的时钟信号在BUFG,直接连接到OBUF上,在编译map时会出现错误,为了避免错误可以在约束文件加一条约束,让编译器忽略时序约束的要求,直接通过普通逻辑资源连接,但是这样CLOCK输出的时延和JITTER都会变差(这种方式不好) (该解释来源于开发板数据手册)

IP核调用的时候,注意复位信号有取反哦。

问题:锁相环IP核可以输出4个时钟数据,但是使用ODDR2只能输出一路,还没找到解决方式,先把这问题留着,有解决方法也请大佬告诉我一下。


module clk_div(   input wire        sys_clk     ,input wire         sys_rst     ,//                     output wire     clk_100_50  ,
//                      output wire     clk_25_25   ,output wire    clk_10_10   ,output wire    LOCKED);pll_div instance_name(// Clock in ports.CLK_IN1(sys_clk),      // IN// Clock out ports.CLK_OUT1(CLK_OUT1),     // OUT.CLK_OUT2(CLK_OUT2),     // OUT.CLK_OUT3(CLK_OUT3),     // OUT// Status and control signals.RESET(~sys_rst),// IN              注意取反哦.LOCKED(LOCKED));      // OUT///调用 ODDR2 使时钟信号通过普通 IO 输出//
ODDR2
#(.DDR_ALIGNMENT("NONE"), // Sets output alignment to "NONE", "C0" or "C1".INIT(1'b0), // Sets initial state of the Q output to 1'b0 or 1'b1.SRTYPE("SYNC") // Specifies "SYNC" or "ASYNC" set/reset)
ODDR2_inst (.Q(clk_10_10), // 1-bit DDR output data    输出信号.C0(CLK_OUT2), // 1-bit clock input         锁相环输出.C1(~CLK_OUT2), // 1-bit clock input            锁相环输出取反.CE(1'b1), // 1-bit clock enable input.D0(1'b1), // 1-bit data input (associated with C0).D1(1'b0), // 1-bit data input (associated with C1).R(1'b0), // 1-bit reset input.S(1'b0) // 1-bit set input);  endmodule
module vtf_clk_div;// Inputsreg sys_clk;reg sys_rst;// Outputswire clk_10_10;wire LOCKED;// Instantiate the Unit Under Test (UUT)clk_div uut (.sys_clk(sys_clk), .sys_rst(sys_rst), .clk_10_10(clk_10_10), .LOCKED(LOCKED));initial begin// Initialize Inputssys_clk = 0;sys_rst = 0;// Wait 100 ns for global reset to finish#100;sys_rst <= 1'b1;// Add stimulus hereendalways # 10 sys_clk = ~sys_clk;endmodule

PLL时钟分频(运用ODDR2)相关推荐

  1. 数字芯片设计中的时钟分频电路,你了解多少?

    时钟分频电路在数字芯片设计中非常常见,而且也非常重要,正确的符合要求的数字分频电路对功能的正确与否至关重要.现在数字电路设计中的时钟分频主要包括以下几种方法: 1.寄存器分频 寄存器分频就是利用寄存器 ...

  2. 飞思卡尔单片机PLL时钟总线模块

    要设定PWM模块首先要确定片内总线时钟,MC9S12XS系列单片机增加了时钟产生器模块,锁定内部频率更高的压控振荡器VCO频率,作为系统时钟,单片机的内部时钟可达80MHz,片内总线时钟可达40MHz ...

  3. Verilog功能模块——时钟分频

    一. 模块功能与应用场景 模块功能:对输入时钟进行任意倍数分频. 应用场景: 需要对时钟进行分频,而PLL不能满足要求或者使用起来不方便 需要固定倍数关系的时钟 二. 模块框图与使用说明 通过参数DI ...

  4. linux 时钟分频,浅析AD9522时钟分频电路原理

    在集成度高度发展的今天,不能靠多个晶振源来解决问题,而且一旦晶振固定那么它的灵活性和可移植性必然受到很大影响,所以一些时钟分频芯片应运而生,今天我们将举一个很有代表性的AD9522时钟分频芯片的典型应 ...

  5. 浅析嵌入式系统之uboot详解(5.1)—时钟分频

    bootloader详解目录-废铁是怎么产生价值的 浅析嵌入式系统之uboot详解(1)-板子上电后uboot做了什么 浅析嵌入式系统之uboot详解(2)-CPU工作模式 浅析嵌入式系统之uboot ...

  6. 时钟分频原理 - 时钟分频原理详解

    时钟分频原理 如果cpu是计算机的大脑,电流是计算机的血液,那么时钟则是计算机的心脏,时钟频率决定了处理器运算的快慢,它的每一次"跳动"都驱动着处理器不停的执行命令.不同的是,人的 ...

  7. STM8L芯片启动时钟分频问题及发现(转)

    源:STM8L芯片启动时钟分频问题及发现 转载于:https://www.cnblogs.com/LittleTiger/p/4460684.html

  8. n分频器 verilog_时钟分频系列——分数分频电路的Verilog实现

    IC君的第47篇原创文章 上一篇文章时钟分频系列--偶数分频/奇数分频/分数分频,IC君介绍了各种分频器的设计原理,其中分数分频器较为复杂,这一篇文章IC君再跟大家聊聊分数分频的具体设计实现. 一个分 ...

  9. (91)FPGA时钟分频与倍频问题,面试必问(十五)(第19天)

    (91)FPGA时钟分频与倍频问题,面试必问(十五)(第19天) 1 文章目录 1)文章目录 2)FPGA初级课程介绍 3)FPGA初级课程架构 4)FPGA时钟分频与倍频问题,面试必问(十五)(第1 ...

最新文章

  1. 聊聊离京后的一些感受
  2. 【剑指offer-Java版】23从上往下打印二叉树
  3. Mysql中经常出现的乱码问题
  4. 数据库:B+树索引和Hash索引得区别
  5. mfc socket onreceive函数不被调用_不报错地调用空指针类的成员函数
  6. vue js 反编译_学会了Vue,前端面试却没找到工作?
  7. 史上最全计算机毕业设计题目4(10万套,大部分全国唯一)
  8. vb 链接 oracle数据库,vb 连接oracle数据库
  9. 最小生成树 刘汝佳模板
  10. 51单片机之实时秒表
  11. 应届毕业生的户口、档案、三方协议、报到证、见习期、工龄计算、转正定级等重要知识普及!
  12. 斗战神 琵琶之怨获取攻略
  13. IP地址和 MAC地址详解
  14. c语言36块砖36人搬答案编程,在C语言中.36块砖,36人搬;男搬4,女搬3,两个小孩抬一块.要求一次搬完,问男女小孩各多少?设计程序....
  15. 迅视财经 买买买却维权难?明星主播不能装无辜
  16. 卓普zp900s手机root和data大小调整经验
  17. 一、了解Scrapy
  18. 跨考计算机之路,2014考研心得:零基础跨考之路
  19. ultraedit介绍及使用教程
  20. 超潜力公链DFINITY——DeFi 开发者最佳进入时期

热门文章

  1. 联想天逸F41M无线网卡搜索不到无线信号
  2. matlab中plot函数用法
  3. 12306 php 火车票 自动抢购火车票
  4. 一款与众不同的壁纸应用——《MW地图壁纸》
  5. 3星|《我的创业史》:刘强东奋斗史,京东做大前的故事比较有意思
  6. 基于Java及Opencv3.4.1的图像线条识别
  7. 一般来说,GET产生一个TCP数据包;POST产生两个TCP数据包。
  8. 英国------历史
  9. 计算机上mac怎么用,如何在Mac OS X计算机上使用扫描仪
  10. 量比和换手率:选股有奇招