目录

1.LVDS的概念

2.XILINX FPGA 差分信号解决方案

(1)IBUFDS

(2)OBUFDS

(3)IOBUFDS(三态差分输入输出)

3.LVDS中的终端电阻

4.LVDS 电气特性

(1)LVDS25

(2)LVDS25

5.LVDS 自环测试


1.LVDS的概念

    LVDS(Low Voltage Differential Signalin)是一种低振幅差分信号技术。它使用幅度非常低的信号(约 350mV) 通过一对差分 PCB 走线或平衡电缆传输数据。大部分高速数据传输中,都会用到 LVDS 传输。
    目前 FPGA  LVDS 实际上有大量的应用,特别是在高速 ADC, 高分辨率摄像头,液晶屏显示技术等应用领域。所以掌握 LVDS 通信也是我们 FPGA 开发者的必备基本技能。本文首先简要介绍一些 XILINX FPGA 的 LVDS 解决方案。

2.XILINX FPGA 差分信号解决方案

(1)IBUFDS

(2)OBUFDS

(3)IOBUFDS(三态差分输入输出)

3.LVDS中的终端电阻

注:如果要使用内部的终端电阻,对于 HP 的 LVDS 信号 BANK 电压必须是 1.8V,而对于 HR 的 LVDS25 BANK 信号必须是 2.5V,否则可以使用外部终端电阻。

4.LVDS 电气特性

(1)LVDS25


VCCO 是 2.5V
VOH 是高电平最大 1.675V
VOL 低电平最小 0.7V
VODIFF 差模电压最大 600mv ,最小 247mv,典型值 350mv
VOCM 输出共模电压,最小 1V 最大 1.425V,典型值 1.25V
VIDIFF 输入差模电压,最大 600mv,最小 100mv,典型 350mv
VICM 输入共模电压,最大 1.5V,最小 0.3V,典型 1.2V

(2)LVDS25


VCCO 是 1.8V
VOH 是高电平最大 1.675V
VOL 低电平最小 0.825V
VODIFF 差模电压最大 600mv ,最小 247mv,典型值 350mv
VOCM 输出共模电压,最小 1V 最大 1.425V,典型值 1.25V
VIDIFF 输入差模电压,最大 600mv,最小 100mv,典型 350mv
VICM 输入共模电压,最大 1.5V,最小 0.3V,典型 1.2V
LVDS 器件电气特性是否兼容主要看,VODIF,VOCM,VIDIFF,VICM,可以看到,LVDS25 和 LVDS 的差分电 气特性是兼容的。

5.LVDS 自环测试

module lvds_loop(// sysclk input 系统时钟input   clk_i_p ,input  clk_i_n ,// TTL输入输出input    rx_i    ,output     tx_o    ,//lvds loop input  输入的LVDS时钟input  dclki_p ,input  dclki_n ,input  din_p   ,input  din_n   ,//lvds loop output 输出的LVDS时钟output dclko_p ,output dclko_n ,output dout_p  ,output dout_n
);wire clk50m,dclki,din;
reg rx_lvds = 1'b0;wire clk_i;// 得到单端系统时钟,对差分时钟采用 IBUFGDS IP 核去转换
IBUFGDS CLK_U(.I    (   clk_i_p ),.IB   (   clk_i_n ),.O    (   clk_i   )
);//clk_wiz_0 uclk(.clk_out1(clk50m),.clk_out2(clk5m), .clk_in1_p(clk_i_p),.clk_in1_n(clk_i_n));
clk_wiz_0 uclk(.clk_out1(clk50m),.clk_in1(clk_i));// lvds out, 把 rx 接收到的数据,通过LVDS发送出去
// 输出50M的差分时钟
OBUFDS #(.IOSTANDARD        (   "DEFAULT" ), // Specify the output I/O standard.SLEW          (   "SLOW"        )
)
dclko_OBUFDS
(.O  (  dclko_p ),.OB ( dclko_n ),.I  ( clk50m  )
);// 输出接收到的数据
OBUFDS #(.IOSTANDARD        (   "DEFAULT" ), // Specify the output I/O standard.SLEW          (   "SLOW"        )
)
dout_OBUFDS
(.O  (  dout_p  ),.OB ( dout_n  ),.I  ( rx_i    )
);//lvds in
// 还原单端时钟
IBUFDS
#(.DIFF_TERM        (   "TRUE"        ),       // Differential Termination.IBUF_LOW_PWR   (   "TRUE"        ),     // Low power="TRUE", Highest performance="FALSE" .IOSTANDARD       (   "DEFAULT" )     // Specify the input I/O standard
)
dclki_IBUFDS
(.O (   dclki   ),   // 1-bit output: Buffer output.I   (   dclki_p ),   // 1-bit input: Diff_p buffer input (connect directly to top-level port).IB    (   dclki_n )  // 1-bit input: Diff_n buffer input (connect directly to top-level port)
);
// 还原单端数据
IBUFDS
#(.DIFF_TERM        (   "TRUE"        ),       // Differential Termination.IBUF_LOW_PWR   (   "TRUE"        ),     // Low power="TRUE", Highest performance="FALSE" .IOSTANDARD       (   "DEFAULT" )     // Specify the input I/O standard
)
ddatai_IBUFDS
(.O (   din     ),   // 1-bit output: Buffer output.I   (   din_p   ),   // 1-bit input: Diff_p buffer input (connect directly to top-level port).IB    (   din_n   )  // 1-bit input: Diff_n buffer input (connect directly to top-level port)
); always @(posedge dclki)beginrx_lvds <= din;
endassign tx_o = rx_lvds;endmodule

Xilinx 差分信号 LVDS传输实战相关推荐

  1. 驱动lvds双8位时钟_LVDS低压差分信号原理

    差分信号有别于单端信号一根信号线传输信号然后参考GND作为高(H).低(L)逻辑电平的参考并作为镜像流量路径的做法,差分传输在两根传输线上都传输信号,这两个信号的振幅相等,相位相差180度,极性相反, ...

  2. 眼图 非差分线_LVDS低电压差分信号简介

    LVDS低电压差分信号简介 1. 名词解释 1.1. 背景 随着数据传输速率越来越高,现在计算机系统中的数据传输接口基本上都串行化了,像USB.PCIe.SATA.DP等等外部总线将并行总线挤压到只剩 ...

  3. Altera FPGA 差分信号初识(2)

    Altera FPGA 差分信号初识(2) 低压差分信号(LVDS) 低电压差分信号 ,或LVDS,也称为TIA / EIA-644,是一个技术标准,它指定的电特性的差分,串行 通信协议.LVDS以低 ...

  4. RS232(电平信号)及RS485(差分信号)

    RS232采用负逻辑传送 工业控制的RS-232口一般只使用RXD.TXD.GND三条线. 规定逻辑"1"的电平为-5V~-15 V,逻辑"0"的电平为+5 V ...

  5. (Xilinx)FPGA中的差分信号

    LVDS LVDS:Low Voltage Differential Signaling,低电压差分信号.不同电路系统之间的高速信号传送都可以应用低压差分传送技术来实现,LVDS传输支持速率一般在15 ...

  6. TMDS——最小化传输差分信号及其协议

    过渡调制差分信号,也被称为最小化传输差分信号,是指通过异或及异或非等逻辑算法将原始信号数据转换成10位,前8为数据由原始信号经运算后获得,第9位指示运算的方式,第10位用来对应直流平衡(DC-bala ...

  7. lvds 共模电感_一文了解共模电感/共模信号/差分信号

    共模扼流圈 (Common Mode Choke),也叫共模电感,是在一个闭合磁环上对称绕制方向相反.匝数相同的线圈.常用于过滤共模的电磁干扰,抑制高速信号线产生的电磁波向外辐射发射,提高系统的EMC ...

  8. lvds 共模电感_共模电感共模信号差分信号(20171124)

    共模扼流圈 (Common Mode Choke),也叫共模电感,是在一个闭合磁环上对称绕制方向相反.匝数相同的线圈.常用于过滤共模的电磁干扰,抑制高速信号线产生的电磁波向外辐射发射,提高系统的EMC ...

  9. 差分技术:LVDS(低压差分信号)、MLVDS(多点低压差分信号)的区别与应用场景

    差分传输是一种信号传输的技术,区别于传统的一根信号线一根地线的做法,差分传输在这两根线上都传输信号,这两个信号的振幅相同, 相位相反.在这两根线上的传输的信号就是差分信号.信号接收端比较这两个电压的差 ...

最新文章

  1. Docker学习(三)-----Docker镜像常用命令
  2. Puppet 4 性能提升超2倍,升级前应该你知悉的变化
  3. 多元化和专一化的关系
  4. GPU Gems2 - 10 动态辐照度环境映射实时计算
  5. 前端学习(3204):复习类相关知识2
  6. java 队列_百战程序员:Java并发阻塞队列
  7. mysql数据库特征_如何掌握MySQL数据库中动态表的特征
  8. 浅析 Linux 初始化 init 系统,第 3 部分: Systemd
  9. Fedora 10下应用网络模拟器NS心得
  10. api es7 删除所有数据_Elasticsearch7.1中文文档-第四章-API约定
  11. vray渲染里服务器信息,VRay分布式渲染详细介绍
  12. 【渝粤题库】陕西师范大学152112 网络信息检索与利用
  13. 什么是php递归算法_PHP递归算法实例解析
  14. 微信小程序自定义picker
  15. winform 鼠标拖动移动图片位置
  16. wsgw_android,衍宏2015最美经纪人 投票查看
  17. MATLAB 基础笔记(二):常用矩阵的生成
  18. 阿里巴巴Java开发手册 (Alibaba Java Coding Guidelines)
  19. wirshark抓包产生的pcap文件分析
  20. 简单说明JSP和Java的关系_JSP 总结

热门文章

  1. 自己被坑的一个正则匹配
  2. 路由器逆向分析------binwalk工具的安装
  3. C语言实现【Josephus 问题||热土豆】代码+运行结果
  4. day08-代码操作xls文件
  5. Monorepo 的过去、现在、和未来
  6. 菜鸟程序员VS大神程序员,这差距我差点吓出了翔
  7. oracle distinct的用法,oracle中distinct的用法详解
  8. Android 给图片增加光晕
  9. 如何创建一个 Windows 桌面应用程序?
  10. linux 内核驱动开发