第一版
vcs:
vcs add.v fa.v add_tb.v -full64 -cc gcc-4.8 -LDFLAGS -Wl,–no-as-needed -debug_all +v2k
clean:
rm -rf ~ core csrc simv vc_hdrs.h ucli.key urg* .log novas. .fsdb verdiLog 64* DVEfiles *.vpd

第二版
#-------------------------------------------------------------------------------------------------------
comp : clean vcs
#-------------------------------------------------------------------------------------------------------
vcs :
vcs
-f filelist.f
-timescale=1ns/1ps
-fsdb -full64 -cc gcc-4.8 -LDFLAGS -Wl,–no-as-needed -R +vc +v2k -sverilog -debug_all
-P ${LD_LIBRARY_PATH}/novas.tab ${LD_LIBRARY_PATH}/pli.a
| tee vcs.log &
#-------------------------------------------------------------------------------------------------------
verdi :
verdi -f filelist.f -ssf tb.fsdb &
#-------------------------------------------------------------------------------------------------------
clean :
rm -rf ~ core csrc simv vc_hdrs.h ucli.key urg* .log novas. .fsdb verdiLog 64* DVEfiles *.vpd
#-------------------------------------------------------------------------------------------------------

vcs makefile相关推荐

  1. VCS makefile文件

    SYNOPSYS VCS Makefile文件编写与研究 这个Makefile是synopsys提供的模板,看上去非常好用,你只要按部就班提供实际项目的参数就可以了.我们来看这个文件的头部说明:  m ...

  2. SYNOPSYS VCS Makefile文件编写与研究

    SYNOPSYS VCS Makefile文件编写与研究 这个Makefile是synopsys提供的模板,看上去非常好用,你只要按部就班提供实际项目的参数就可以了.我们来看这个文件的头部说明: ma ...

  3. 【sv与c】sv与c交互

    网上此类文章很多,这里暂时不放具体实现和测试结果,后续持续更新 下面引用一些帖子,帖子中涉及到具体做法 vcs联合编译v/sv/c++代码_sxlwzl的专栏-CSDN博客1,假设有三个top.svd ...

  4. 逻辑仿真工具VCS的使用-Makefile

    上一次讲了Gvim的基本操作,今天来讲讲用Gvim写出一个RTL codes后怎么用VCS仿真,还会结合Makefile做文件的自动化处理.之后还会出DC的使用教程,如果能完成这么一套流程的话,写在简 ...

  5. VCS使用Makefile教程

    在从事IC验证工作的过程中,其实最开始的一步不是写什么test plan或者说verification of structure,而是应该知道makefile怎么写,先写出一个通用,基础的编译仿真脚本 ...

  6. 一个简单的makefile编写VCS仿真

    一个简单的makefile编写VCS仿真 1 VCS简介 VCS是编译型Verilog模拟器,它完全支持OVI标准的Verilog HDL语言.PLI和SDF. VCS具有行业中较高的模拟性能,其出色 ...

  7. Makefile 脚本运行VCS仿真

    LINUX学习笔记 Makefile 脚本运行VCS仿真 1. 文件 2. Makefile 脚本 3. 命令 1. 文件 tb.list: tesetbech文件目录 rtl.list:rtl代码文 ...

  8. 使用makefile启动vcs, verdi 进行仿真

    首先输入dve和verdi看能不能跳出图形界面, 如果能跳出就是装好了 代码下载 https://download.csdn.net/download/howard789/18802853 setup ...

  9. Makefile脚本启动VCS+Verdi

    文章目录 一.快速入门 二.Makefile的语法 1.语法格式 2.命令执行 3.变量 三.VCS仿真 1.VCS常用命令 2.Makefile实现自动化仿真 四.VCS+Verdi的使用 1.编译 ...

最新文章

  1. Linux在后台运行python程序、脚本程序、可执行程序等,关闭终端仍可保持程序运行
  2. Oracle常用系统表
  3. sess.run的第一个参数的错误理解
  4. 【CyberSecurityLearning 74】DC系列之DC-5渗透测试
  5. 轨迹规划概念总结——Dubins曲线
  6. hadoop启动异常:UnregisteredDatanodeException
  7. 地球上这10个奇幻景观,带你踏入外太空
  8. vim 寄存器 操作_vim指令
  9. windows安装 Git Large File Storage大文件下载工具ge
  10. windows永久添加路由
  11. ai怎么调界面大小_Illustrator怎么设置调整界面网格呢?
  12. codevs 1683 车厢重组
  13. 公司周刊-非常6+1—营销平台小组
  14. arcgis注册dsoframer.ocx等组件
  15. 图片视频音频开源文件转换器file-converter
  16. 二叉树期权定价python代码_期权的二叉树定价模型
  17. 北斗导航 | EagleEye2000:国产激光雷达走进测绘世界
  18. Rais.vim 配置问题
  19. 【问题解决】正则表达式在线自动生成器
  20. 皕杰报表之小程序代码质量检测

热门文章

  1. C++日常用的函数总结
  2. 大数据Flink(四):Standalone独立集群模式
  3. Mybatis快速入门到精通,附加面试总结
  4. HTML5期末考核大作业,电影网站——橙色国外电影 web期末作业设计网页
  5. 我和小美的撸码日记(3)之中的一个句话搞定MVC表单页数据绑定与提交
  6. VUE使用vue-video-player播放m3u8
  7. E+H限位开关FTL31-AA4U3BAXDJZ1
  8. 量化交易策略 做多做空策略
  9. wma html在线播放,Html嵌入视频播放器(转)
  10. go-string和int类型相互转换