1、使用modelsim进行功能仿真,导入源程序和testbench进行仿真,并保存波形文件(.wlf)。
  2、使用synplify pro对硬件描述语言编译并生成netlist。综合前要注意对器件的选择,方法是在project->implementation option中对要下载的器件和网表的生成情况进行选择。综合后的网表有两种: RTL级网表和门级网表(gate netlist),通过对网表的分析可以对设计的实现方式有初步的了解,并分析其中的错误和不合理的地方,另外还可以对关键路径的delay和slack进行分析。使用synplify pro要先新建工程,注意修改工作目录,然后添加所要编译的文件,要注意top文件要最后一个添加,这样才可以保证生成的文件是以top文件来命名的。
  3、使用quartusⅡ根据netlist进行布线,并进行时序分析。在使用quartusⅡ前要做一些必要的设置,在assignments->eda tools setting中的simulation中选择modelsim,并选择选项run this tools automatically after compilation。如果没有提前做这些设置,可以quartus做完编译布线后,做同样的设置,然后运行EDA netlist writer和eda simulationool
        在使用synplify pro得到满意的netlist后,可以在synplify pro中通过option-> quartusⅡ直接调用quartusⅡ,quartusⅡ对synplify pro生成的.vqm文件进行编译,布线。然后根据设计要求进行时序分析和引脚调整。
  4、使用modelsim进行布线后仿真。由于quartusⅡ提前做了设置,因此在编译布线完成后,会在工作目录下生成modelsim仿真所需要的文件和库(modelsim_work),在modelsim中将产生的文件和库所在的文件夹设置为当前目录,modelsim_work库会自动导入,新建工程会提示所使用的modelsim.ini文件,应使用quartus生成的,然后导入文件(包括testbench),进行编译,仿真的时候在library中添加modelsim_work库,在sdf选项中可以添加quartus生成的延迟信息文件.sdo,注意作用域的选择,如果testbench中调用被测试模块的语句是send3a tb,那么作用域应该写tb,在option选择中可以选择是否看代码覆盖率。另外,还可以将布线后的仿真结果与功能仿真的结果进行对比。
  5、将quartus的波形转化成testbench的方法:画好波形后,通过file->export可以将波形输出到quatus的工作目录,verilog语言扩展名为.vt,修改为.v后可以在modelsim中使
用,需要说明的是如果波形中包括输出端口的话,输出的testbench包含三个模块,一般情况下,只需将输入波形画好后,输出到testbench就可以了。

quartus将modelsim,synplify和quartus联合起来使用进行的FPGA设计(转)相关推荐

  1. Quartus与Modelsim联合仿真ROM IP时输出波形一直为零的问题以及ROM配置仿真教程

    本人近期在使用Quartus Prime与 ModelSim联合对ROM IP进行仿真时,遇到了一个问题,仿真输出波形一直为零.如下图,其中neur_W即为ROM的输出. 在反复确认本人代码没有问题后 ...

  2. Quartus 13.0和Modelsim SE 10.1a 联合仿真

    Quartus 13.0和Modelsim SE 10.1a联合仿真 1.首先在Quartus建立工程,编写HDL文件,进行编译:编译通过后编写testbench文件,再进行编译,直到通过没有错误.. ...

  3. 超详细 quartus 新建工程 及 quartus 和 modelsim 联合仿真 以及 modelsim 的简易教程

    文章目录 一.新建工程 1. 新建工程 2. 添加源文件以及ip核并编译 添加代码文件 添加IP核 编译 3. 自动生成testbench文件并添加路径到工程中 生成testbench文件 添加tes ...

  4. 浅谈用ModelSim+Synplify+Quartus来实现Altera FPGA的仿真

    浅谈用ModelSim+Synplify+Quartus来实现Altera FPGA的仿真 工作内容: Mentor公司的ModelSim是业界最优秀的HDL语言仿真软件,它能提供友好的仿真环境,是业 ...

  5. Quartus同Modelsim的联合仿真

    我这里用到的Quartus是18.1版本的,Modelsim是自带的(注:我这里在设置Modelsim是Modelsim-Altera). 1.我们事先在桌面创建一个命名为test的文件,用来存放qu ...

  6. quartus和modelsim联合仿真详细教程

    利用quartus和modelsim联合仿真的功能,实现功能产生波形.详细步骤如下: 1.编辑verilog HDL语言 本次拟实现组合逻辑功能,其代码如下: 此为一组合逻辑电路,其原理图可在quar ...

  7. Quartus与ModelSim联合仿真启动ModelSim失败(已解决)

    今天学习使用Quartus启动ModelSim对rtl文件进行仿真,RTL simulation报错,无法启动ModelSim: 看了很多博客,可以在ModelSim的directory结尾加\,但我 ...

  8. 基于Quartus II+ModelSim SE的后仿真(Verilog版)

    基于Quartus II+ModelSim SE的后仿真(Verilog版) 一.Quartus 中的相关设置 在Quartus中建立名为counter的工程,设置仿真工具为ModelSim(Veri ...

  9. #### 标题关于Quartus Ⅱ启动ModelSim仿真软件时提示Can't lauch the ModelSim的问题

    标题关于Quartus Ⅱ启动ModelSim仿真软件时提示Can't lauch the ModelSim的问题 出现这种问题一般是ModelSim的路径设置有误. 解决方法 Tools->O ...

最新文章

  1. 大整数减法c语言_C语言学习笔记(一)
  2. 【005】◀▶ C#学习笔记(四)(集合)
  3. CentOS上安装SQL Server vNext CTP1
  4. Makefile中=、:=、+=、?=的区别
  5. 基于 MySQL + Tablestore 分层存储架构的大规模订单系统实践-架构篇
  6. 蓝桥杯2018年第九届C/C++省赛B组第三题-乘积尾零
  7. EXCEl快速删除大量空白行
  8. 机器学习:决策树的划分依据
  9. android手机存储空间猛增,为什么安卓手机运行内存和储存空间增长速度这么快,什么原因呢?...
  10. uboot环境下mmc操作_uboot mmc命令详解
  11. 【EE308FZ Lab2-2】An Amazing Android App for Bobing Game
  12. 超火的数码产品犀牛rhino模型素材网站合集看过来
  13. 微信支付,小程序支付V3
  14. Lmbench测试集 --- 延迟测试工具lat_mem_rd
  15. C++基础(持续更新)
  16. sourcetree安装及使用教程
  17. textarea 换行和空格 传值问题
  18. 优雅代码 - 业务层代码命名
  19. 如何对word文档中的图号进行域处理
  20. 使用谷歌高级搜索需要_什么是Google高级保护?谁应该使用它?

热门文章

  1. Cocos2dx学习笔记(1) Ref类型数据 垃圾回收机制
  2. 无线路由器的WDS功能如何配置?
  3. Fedora 13 的新特性
  4. 从Flash到Silverlight进阶教程-用代码来创建动画
  5. IP网络设计系列之-局域网设计
  6. 战神背光键盘如何关系_技术丨如何解决背光键盘不亮的状况?
  7. 百度 android geocoding,百度地图经纬度批量查找功能XGeocoding使用手册(示例代码)
  8. taskspawn函数 linux,vxworks的启动任务taskSpawn
  9. eclipse注释日期格式修改
  10. 软件项目开发之 软件过程RUP初探