通过FPGA实现VGA实践

  • 一.VGA协议
  • 二.代码实现
  • 总结

一.VGA协议

1.CRT显示器的工作原理:
在显示器内部,电流流过线圈产生磁场,控制电子束流过显示器表面,水平是
从左向右流,垂直方向是从上向下流。只有当电子沿正方向流的时候(即从
左向右,从上到下)显示器工作(可视化区域,display/visible/active area),
而当电子返回显示器的左面或上面的时候显示器不工作(不显示/空白/消隐,
blanking/black)。
2.VGA接口标准
VGA工业标准所要求的频率: • 时钟频率: 25.175MHz(像素输出的频率);
• 行频: 31469Hz;
• 场频: 59.94Hz。
显示器技术规格提供的行频一般在30kHz~45kHz(保守数据),场频一般在
50Hz~75Hz(保守数据),针对以上保守数据,以30kHz的行频进行扫描时
所需时钟频率为:30kHz×800(行周期)=24MHz,则场频为:30kHz÷525(
场周期)
3.协议相关参数

二.代码实现

1.取点阵字模
字模如下

2.具体字符显示代码

module VGA_test(
OSC_50,     //原CLK2_50时钟信号
VGA_CLK,    //VGA自时钟
VGA_HS,     //行同步信号
VGA_VS,     //场同步信号
VGA_BLANK,  //复合空白信号控制信号  当BLANK为低电平时模拟视频输出消隐电平,此时从R9~R0,G9~G0,B9~B0输入的所有数据被忽略
VGA_SYNC,   //符合同步控制信号      行时序和场时序都要产生同步脉冲
VGA_R,      //VGA绿色
VGA_B,      //VGA蓝色
VGA_G);     //VGA绿色input OSC_50;     //外部时钟信号CLK2_50output VGA_CLK,VGA_HS,VGA_VS,VGA_BLANK,VGA_SYNC;output [7:0] VGA_R,VGA_B,VGA_G;parameter H_FRONT = 16;     //行同步前沿信号周期长parameter H_SYNC = 96;      //行同步信号周期长parameter H_BACK = 48;      //行同步后沿信号周期长parameter H_ACT = 640;      //行显示周期长parameter H_BLANK = H_FRONT+H_SYNC+H_BACK;        //行空白信号总周期长parameter H_TOTAL = H_FRONT+H_SYNC+H_BACK+H_ACT;  //行总周期长耗时parameter V_FRONT = 11;     //场同步前沿信号周期长parameter V_SYNC = 2;       //场同步信号周期长parameter V_BACK = 31;      //场同步后沿信号周期长parameter V_ACT = 480;      //场显示周期长parameter V_BLANK = V_FRONT+V_SYNC+V_BACK;        //场空白信号总周期长parameter V_TOTAL = V_FRONT+V_SYNC+V_BACK+V_ACT;  //场总周期长耗时reg [10:0] H_Cont;        //行周期计数器reg [10:0] V_Cont;        //场周期计数器wire [7:0] VGA_R;         //VGA红色控制线wire [7:0] VGA_G;         //VGA绿色控制线wire [7:0] VGA_B;         //VGA蓝色控制线reg VGA_HS;reg VGA_VS;reg [10:0] X;             //当前行第几个像素点reg [10:0] Y;             //当前场第几行reg CLK_25;always@(posedge OSC_50)begin CLK_25=~CLK_25;         //时钟end assign VGA_SYNC = 1'b0;   //同步信号低电平assign VGA_BLANK = ~((H_Cont<H_BLANK)||(V_Cont<V_BLANK));  //当行计数器小于行空白总长或场计数器小于场空白总长时,空白信号低电平assign VGA_CLK = ~CLK_to_DAC;  //VGA时钟等于CLK_25取反assign CLK_to_DAC = CLK_25;always@(posedge CLK_to_DAC)beginif(H_Cont<H_TOTAL)           //如果行计数器小于行总时长H_Cont<=H_Cont+1'b1;      //行计数器+1else H_Cont<=0;              //否则行计数器清零if(H_Cont==H_FRONT-1)        //如果行计数器等于行前沿空白时间-1VGA_HS<=1'b0;             //行同步信号置0if(H_Cont==H_FRONT+H_SYNC-1) //如果行计数器等于行前沿+行同步-1VGA_HS<=1'b1;             //行同步信号置1if(H_Cont>=H_BLANK)          //如果行计数器大于等于行空白总时长X<=H_Cont-H_BLANK;        //X等于行计数器-行空白总时长   (X为当前行第几个像素点)else X<=0;                   //否则X为0endalways@(posedge VGA_HS)beginif(V_Cont<V_TOTAL)           //如果场计数器小于行总时长V_Cont<=V_Cont+1'b1;      //场计数器+1else V_Cont<=0;              //否则场计数器清零if(V_Cont==V_FRONT-1)       //如果场计数器等于场前沿空白时间-1VGA_VS<=1'b0;             //场同步信号置0if(V_Cont==V_FRONT+V_SYNC-1) //如果场计数器等于行前沿+场同步-1VGA_VS<=1'b1;             //场同步信号置1if(V_Cont>=V_BLANK)          //如果场计数器大于等于场空白总时长Y<=V_Cont-V_BLANK;        //Y等于场计数器-场空白总时长    (Y为当前场第几行)  else Y<=0;                   //否则Y为0endreg valid_yr;always@(posedge CLK_to_DAC)if(V_Cont == 10'd32)         //场计数器=32时valid_yr<=1'b1;           //行输入激活else if(V_Cont==10'd512)     //场计数器=512时valid_yr<=1'b0;           //行输入冻结wire valid_y=valid_yr;       //连线   reg valid_r;            always@(posedge CLK_to_DAC)   if((H_Cont == 10'd32)&&valid_y)     //行计数器=32时valid_r<=1'b1;                   //像素输入激活else if((H_Cont==10'd512)&&valid_y) //行计数器=512时 valid_r<=1'b0;                   //像素输入冻结wire valid = valid_r;               //连线wire[10:0] x_dis;     //像素显示控制信号wire[10:0] y_dis;     //行显示控制信号assign x_dis=X;       //连线Xassign y_dis=Y;       //连线Yparameter  //点阵字模:每一行char_lineXX是显示的一行,共272列char_line00=320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000,  //第1行char_line01=320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000,  //第2行char_line02=320'h00038000008002000000000000000000000000000000000000000000000000000000000000000000, //第3行char_line03=320'h0003C00000E003000000018000000000000000000000000000000000000000000000000000000000,//第4行char_line04=320'h0003801000C0078000000FC000000000000000000000000000000000000000000000000000000000,//第5行char_line05=320'h0003803800C00E000103FE0000000000000000000000000000000000000000000000000000000000, //第6行char_line06=320'h3FFFFFFC00C01C0001FC0000000001E007C0008007C003C01FFC03C001E003C00FFC008003C00000, //第7行char_line07=320'h180FE00000C03800018000000000061818600180182006201FFC0620061806200FFC018006200000, //第8行char_line08=320'h001FF00000C060000180C00000000C1830301F8030100C3010080C300C180C3010001F800C300000, //第9行char_line09=320'h003FB80000C0C0000100E00000000818301801803018181830101818081818181000018018180000, //第10行char_line0a=320'h007B9C0000C180000300C00000001800301801806008181820101818180018181000018018180000, //第11行char_line0b=320'h00F39E0000C200000300C0000000100030180180600C180820201808100018081000018018080000, //第12行char_line0c=320'h01E38F8000CC00000300C0000000100000180180600C300C0020300C1000300C10000180300C0000, //第13行char_line0d=320'h03C387F000D000000300C0000000300000180180600C300C0040300C3000300C10000180300C0000, //第14行char_line0e=320'h07838DFE00C000300300C030000033E000300180600C300C0040300C33E0300C13E00180300C0000,  //第15行char_line0f=320'h1FFFFEF83FFFFFF807FFFFF80000363000600180600C300C0040300C3630300C14300180300C0000,  //第16行char_line10=320'h38401F3000C100000200C0007FFE381803C00180701C300C0080300C3818300C18180180300C0000,  //第17行char_line11=320'h60003C0000C100000000C0000000380800700180302C300C0080300C3808300C10080180300C0000,  //第18行char_line12=320'h0001F00000C080000000C0000000300C00180180186C300C0100300C300C300C000C0180300C0000,  //第19行char_line13=320'h0001E00000C0C0000030C4000000300C000801800F8C300C0100300C300C300C000C0180300C0000,  //第20行char_line14=320'h0001E01000C060000038C2000000300C000C0180000C300C0100300C300C300C000C0180300C0000,  //第21行char_line15=320'h0001C03800C030000060C1800000300C000C01800018300C0100300C300C300C000C0180300C0000,  //第22行char_line16=320'h7FFFFFFC00C0380000C0C0C00000300C300C01800018180803001808300C1808300C018018080000,  //第23行char_line17=320'h3801C00000C01C000180C0E00000180C300C01800010181803001818180C1818300C018018180000,  //第24行char_line18=320'h0001C00000C00F000300C07000001808300801803030181803001818180818182018018018180000,  //第25行char_line19=320'h0001C00000C0C7C00600C03000000C183018018030600C3003000C300C180C30201801800C300000,  //第26行char_line1a=320'h0001C00000C301FC0C00C03000000E30183003C030C00620030006200E300620183003C006200000,  //第27行char_line1b=320'h0001C00000DC00F81010C030000003E007C01FF80F8003C0030003C003E003C007C01FF803C00000,  //第28行char_line1c=320'h003FC00000F80010200FC00000000000000000000000000000000000000000000000000000000000,  //第29行char_line1d=320'h0007C00000E000000003C00000000000000000000000000000000000000000000000000000000000,  //第30行char_line1e=320'h00038000004000000001000000000000000000000000000000000000000000000000000000000000,  //第31行char_line1f=320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000;  //第32行reg[8:0] char_bit;always@(posedge CLK_to_DAC)if(X==10'd144)char_bit<=9'd320;   //当显示到144像素时准备开始输出图像数据else if(X>10'd144&&X<10'd416)     //左边距屏幕144像素到416像素时    416=144+272(图像宽度)char_bit<=char_bit-1'b1;       //倒着输出图像信息 reg[29:0] vga_rgb;                //定义颜色缓存always@(posedge CLK_to_DAC) if(X>10'd144&&X<10'd416)    //X控制图像的横向显示边界:左边距屏幕左边144像素  右边界距屏幕左边界416像素begin case(Y)            //Y控制图像的纵向显示边界:从距离屏幕顶部160像素开始显示第一行数据10'd160:if(char_line00[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;  //如果该行有数据 则颜色为红色else vga_rgb<=30'b0000000000_0000000000_0000000000;                      //否则为黑色10'd162:if(char_line01[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd163:if(char_line02[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd164:if(char_line03[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd165:if(char_line04[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000; 10'd166:if(char_line05[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd167:if(char_line06[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000; 10'd168:if(char_line07[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd169:if(char_line08[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000; 10'd170:if(char_line09[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd171:if(char_line0a[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd172:if(char_line0b[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd173:if(char_line0c[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd174:if(char_line0d[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd175:if(char_line0e[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd176:if(char_line0f[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd177:if(char_line10[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd178:if(char_line11[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd179:if(char_line12[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd180:if(char_line13[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd181:if(char_line14[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd182:if(char_line15[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd183:if(char_line16[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd184:if(char_line17[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd185:if(char_line18[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd186:if(char_line19[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd187:if(char_line1a[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd188:if(char_line1b[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd189:if(char_line1c[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd190:if(char_line1d[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd191:if(char_line1e[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd192:if(char_line1f[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;default:vga_rgb<=30'h0000000000;   //默认颜色黑色endcase endelse vga_rgb<=30'h000000000;             //否则黑色assign VGA_R=vga_rgb[23:16];assign VGA_G=vga_rgb[15:8];assign VGA_B=vga_rgb[7:0];
endmodule

3.彩条显示
具体代码如下

module data_drive (input         wire                        vga_clk,input           wire                        rst_n,input         wire        [ 11:0 ]        addr_h,input            wire        [ 11:0 ]        addr_v,input            wire        [ 2:0 ]      key,output         reg     [ 15:0 ]                rgb_data);localparam    red    = 16'd63488;
localparam  orange = 16'd64384;
localparam  yellow = 16'd65472;
localparam  green  = 16'd1024;
localparam  blue   = 16'd31;
localparam  indigo = 16'd18448;
localparam  purple = 16'd32784;
localparam  white  = 16'd65503;
localparam  black  = 16'd0;
reg [ 383:0 ] char_line[ 64:0 ];localparam  states_1 = 1; // 彩条
localparam  states_2 = 2; // 字符
localparam  states_3 = 3; // 图片parameter   height = 78; // 图片高度
parameter   width  = 128; // 图片宽度
reg         [ 1:0 ]         states_current          ; // 当前状态
reg         [ 1:0 ]         states_next             ; // 下个状态
reg         [ 13:0 ]        rom_address             ; // ROM地址
wire            [ 15:0 ]        rom_data                ; // 图片数据wire                           flag_enable_out1            ; // 文字有效区域
wire                            flag_enable_out2            ; // 图片有效区域
wire                            flag_clear_rom_address      ; // 地址清零
wire                            flag_begin_h                ; // 图片显示行
wire                            flag_begin_v                ; // 图片显示列//状态转移
always @( posedge vga_clk or negedge rst_n ) beginif ( !rst_n ) beginstates_current <= states_1;endelse beginstates_current <= states_next;end
end//状态判断
always @( posedge vga_clk or negedge rst_n ) beginif ( !rst_n ) beginstates_next <= states_1;endelse if ( key[ 0 ] ) beginstates_next <= states_1;endelse if ( key[ 1 ] ) beginstates_next <= states_2;endelse if ( key[ 2 ] ) beginstates_next <= states_3;endelse beginstates_next <= states_next;end
end//状态输出
always @( * ) begincase ( states_current )states_1 : beginif ( addr_h == 0 ) beginrgb_data = black;endelse if ( addr_h >0 && addr_h <81 ) beginrgb_data = red;endelse if ( addr_h >80 && addr_h <161 ) beginrgb_data = orange;endelse if ( addr_h >160 && addr_h <241 ) beginrgb_data = yellow;endelse if ( addr_h >240 && addr_h <321 ) beginrgb_data = green;endelse if ( addr_h >320 && addr_h <401 ) beginrgb_data = blue;endelse if ( addr_h >400 && addr_h <481 ) beginrgb_data = indigo;endelse if ( addr_h >480 && addr_h <561 ) beginrgb_data = purple;endelse if ( addr_h >560 && addr_h <641 ) beginrgb_data = white;endelse beginrgb_data = black;endendstates_2 : beginif ( flag_enable_out1 ) beginrgb_data = char_line[ addr_v-208 ][ 532 - addr_h ]? white:black;endelse beginrgb_data = black;endendstates_3 : beginif ( flag_enable_out2 ) beginrgb_data = rom_data;endelse beginrgb_data = black;endenddefault: begincase ( addr_h )0 : rgb_data      = black;1 : rgb_data      = red;81 : rgb_data     = orange;161: rgb_data     = yellow;241: rgb_data     = green;321: rgb_data     = blue;401: rgb_data     = indigo;481: rgb_data     = purple;561: rgb_data     = white;default: rgb_data = rgb_data;endcaseendendcase
endassign flag_enable_out1 = states_current == states_2 && addr_h > 148 && addr_h < 533 && addr_v > 208  && addr_v < 273 ;
assign flag_begin_h     = addr_h > ( ( 640 - width ) / 2 ) && addr_h < ( ( 640 - width ) / 2 ) + width + 1;
assign flag_begin_v     = addr_v > ( ( 480 - height )/2 ) && addr_v <( ( 480 - height )/2 ) + height + 1;
assign flag_enable_out2 = states_current == states_3 && flag_begin_h && flag_begin_v;//ROM地址计数器
always @( posedge vga_clk or negedge rst_n ) beginif ( !rst_n ) beginrom_address <= 0;endelse if ( flag_clear_rom_address ) begin //计数满清零rom_address <= 0;endelse if ( flag_enable_out2 ) begin  //在有效区域内+1rom_address <= rom_address + 1;endelse begin  //无效区域保持rom_address <= rom_address;end
end
assign flag_clear_rom_address = rom_address == height * width - 1;//初始化显示文字
always@( posedge vga_clk or negedge rst_n ) beginif ( !rst_n ) beginchar_line[ 0 ]  = 384'h000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000;char_line[ 1 ]  = 384'h000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000;char_line[ 2 ]  = 384'h000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000;char_line[ 3 ]  = 384'h000000000000000000000008000000000000000000000000000000000000000000000000000000000000200000800000;char_line[ 4 ]  = 384'h0000000001E000000000000E000000000000000000000000000000000002000000000000000000000000380000E00000;char_line[ 5 ]  = 384'h0000003000F800000000000780000000000000000000000000000000000F0000001000000000080000003E0000F80000;char_line[ 6 ]  = 384'h00000070007C000000000003C0000000000000000000000000000000007F8000000C000000001C0000003E0000FC0000;char_line[ 7 ]  = 384'h000000F8007E000000000003C000000000000000000000000000000003FFC000000FFFFFFFFFFE0000003C0000F00000;char_line[ 8 ]  = 384'h3FFFFFFC003E000000000003C00300000000000000000000000000003FFFE000000FFFFFFFFFFF0000003C0000F00000;char_line[ 9 ]  = 384'h3FFFFFFE003E000000000001C0078000000000000000000000000007FFFF8000000F000000003E0000003C0000F00000;char_line[ 10 ] = 384'h1F3E7C00003E0180001FFFFFFFFFC0000000000000000000000000FFFF800000000F000000003C0000003C0000F00000;char_line[ 11 ] = 384'h003E7C00001E03C0000FFFFFFFFFE000000000000000000000003FFFC0000000000F000000003C0000003C0000F00000;char_line[ 12 ] = 384'h003E7C00001C07E000000600010000000000000000000000001FFFC3C0000000000F000000003C0000003C3000F00600;char_line[ 13 ] = 384'h003E7C07FFFFFFF00000038003C00000000000000000000000300003C0000000000F000000003C0000003C7800F00F00;char_line[ 14 ] = 384'h003E7C07FFFFFFF8000001C003E00000000000000000000000000003C0000000000F000000003C0007FFFFFDFFFFFF80;char_line[ 15 ] = 384'h003E7C03EC006000000001E003C00000000000000000000000000003C0000000000F000000003C0003FFFFFEFFFFFFC0;char_line[ 16 ] = 384'h003E7C000F007800000000E007800000000000000000000000000003C0000000000F000000003C0001803C0001F80000;char_line[ 17 ] = 384'h003E7C601FC07E00000000E007000000000000000000000000000003C0000000000FFFFFFFFFFC0000007C0001FC0000;char_line[ 18 ] = 384'h0F3E7CF01F807E00000000E00E000200000000000000000000000003C0000000000FFFFFFFFFFC0000007C0003F40000;char_line[ 19 ] = 384'h0FFFFFF81F00FC00000000E00C000700000000000000000000000003C0000000000E000000003C000000FF8003F60000;char_line[ 20 ] = 384'h0FFFFFF81F00F8000000000018000F80000002000000000000000003C0000000000E000000003E000000FDF007F30000;char_line[ 21 ] = 384'h0FBE7DF03E00F8000FFFFFFFFFFFFFC0000001800000000000000003C0000000000E0000000030000001FCF80FF38000;char_line[ 22 ] = 384'h0FBE7DF03E01F00007FFFFFFFFFFFFE0000000C00000000000000003C0000000000E0000000000000003FC7C0EF1C000;char_line[ 23 ] = 384'h0FBE7DF03E01F8000300000000000000000000700000000000000003C0000000000E0000000000000003FC7C1EF1E000;char_line[ 24 ] = 384'h0FBE7DF07F83FC0000000000000000000000007C0000000000000003C0000000000E0000000000000007BC3C3CF0F000;char_line[ 25 ] = 384'h0FBE7DF07BE3FF0000000000000000000000003E0000000000000003C0000180000E000000000000000F3C1838F07C00;char_line[ 26 ] = 384'h0FBE7DF07BF7CFC000030000000700000000001F8000000000000003C00003C0000E000000003000001E3C1870F03F00;char_line[ 27 ] = 384'h0FBE7DF0F1F787E00003FFFFFFFF80000000000FC000000000000003C00007E0000E000000007800001C3C00E0F03FC0;char_line[ 28 ] = 384'h0FBE7DF0F1FF83E00003FFFFFFFFC00000000007F00000003FFFFFFFFFFFFFF0000E07FFFFFFFC0000383C01C0F01FF8;char_line[ 29 ] = 384'h0FBC7DF1E0FF03F00003C0000007000000000003F80000001FFFFFFFFFFFFFF8000E03FFFFFFFE0000703C0300F007E0;char_line[ 30 ] = 384'h0FBC7DF1E0FE01F00003C0000007000000000001FC0000000C000003C0000000000E01000000000000E03C0600F00300;char_line[ 31 ] = 384'h0FBC7DF3C07C01F00003C0000007000000000000FE00000000000003C0000000001E00000000000001803C2800F00100;char_line[ 32 ] = 384'h0FBC7DF3807800E00003C0000007000000000000FE00000000000003C0000000001E00000000000007003C3000F00000;char_line[ 33 ] = 384'h0FBC7DF7007000C00003FFFFFFFF0000000000007F00000000000003C0000000001E0000000000000C003C7800F00000;char_line[ 34 ] = 384'h0FF87DF700FC00000003FFFFFFFF0000000000003F00000000000003C0000000001E00000000000018003C7E00E00000;char_line[ 35 ] = 384'h0FF87FFE01FF00000003C00000070000000000003F00000000000003C0000000001E000000000100000030FC00808000;char_line[ 36 ] = 384'h0FF83FFC013F00000003C00000070000000000001F00000000000003C0000000001E000000000380000001F00001C000;char_line[ 37 ] = 384'h0FF01FF0003E00000003C00000070000000000001F00000000000003C0000000001C0000000007C0000003FFFFFFE000;char_line[ 38 ] = 384'h0FE001F0003E00C00003C00000070000000000000E00000000000003C0000000001CFFFFFFFFFFE0000003FFFFFFF000;char_line[ 39 ] = 384'h0FE001F0003E01E00003C00000070000000000000400000000000003C0000000001C7FFFFFFFFFF0000007800003F800;char_line[ 40 ] = 384'h0FC001F0003E03F00003FFFFFFFF0000000000000000000000000003C0000000001C20006000000000000F000003E000;char_line[ 41 ] = 384'h0F8001FFFFFFFFF80003FFFFFFFF0000000000000000000000000003C0000000003C00007000000000001E000007C000;char_line[ 42 ] = 384'h0F8001FFFFFFFFFC0003C02000070000000000000000000000000003C0000000003C0000FC00000000003C00000F8000;char_line[ 43 ] = 384'h0FFFFFF7C03E00000003801800060000000000000000000000000003C000000000380000FC00000000007E00001F0000;char_line[ 44 ] = 384'h0FFFFFF0003E00000000000E00000000000000000000000000000003C000000000380001F80000000000E780003E0000;char_line[ 45 ] = 384'h0F8001F0003E00000000100780000000000000000000000000000003C000000000380001E00000000001C3C0003E0000;char_line[ 46 ] = 384'h0F8001F0003E000000001C07C0018000000000000000000000000003C000000000780003C0000000000781F0007C0000;char_line[ 47 ] = 384'h0F8001F0003E000000081F03E000E000000000000000000000000003C00000000070000780000000000E00F800F80000;char_line[ 48 ] = 384'h0F8001F0003E000000181E01E0007800000000000000000000000003C00000000070000700180000001C007803F00000;char_line[ 49 ] = 384'h0F8001F0003E000000181C00E0183E00000000000000000000000003C00000000070000E000C00000070007807E00000;char_line[ 50 ] = 384'h0F8001F0003E000000181C00E0181F00000000000000000000000003C000000000E0001C0007000000C000380F800000;char_line[ 51 ] = 384'h0F8001F0003E000000381C0060180F80000000000000000000000003C000000000E0003800038000010000381F000000;char_line[ 52 ] = 384'h0F8001F0003E000000381C00401807C0000000000000000000000003C000000000C000700001E000000000187E000000;char_line[ 53 ] = 384'h0FFFFFF0003E000000701C00001807C0000000000000000000000003C000000001C000600001F00000000010F8000000;char_line[ 54 ] = 384'h0FFFFFF0003E000000F01C00001803C0000000000000000000000003C0000000018001C00000F80000000003F0000000;char_line[ 55 ] = 384'h0F8001F0003E000003F01C00001803C0000000000000000000000003C00000000380038000007C000000000FC0000000;char_line[ 56 ] = 384'h0F8001F0003E000003E01E00003C0180000000000000000000000003C000000003000700FFFFFE000000003F00000000;char_line[ 57 ] = 384'h0F8001F0003E000007E01FFFFFFE0000000000000000000000000003C000000006001FFFFFF03E00000001FC00000000;char_line[ 58 ] = 384'h0F8001F0003E000003800FFFFFFC0000000000000000000000000003C000000006000FFFE0001E0000000FF000000000;char_line[ 59 ] = 384'h0F8001E0003E0000000007FFFFF00000000000000000000000000003C00000000C000FF800001E000000FF8000000000;char_line[ 60 ] = 384'h0F000000003C00000000000000000000000000000000000000000003800000000800070000000E00000FF80000000000;char_line[ 61 ] = 384'h00000000003800000000000000000000000000000000000000000002000000001800040000000C0001FF000000000000;char_line[ 62 ] = 384'h000000000000000000000000000000000000000000000000000000000000000010000000000000000F80000000000000;char_line[ 63 ] = 384'h000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000;char_line[ 64 ] = 384'h000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000;end
end//实例化ROM
rom rom_inst (
.address ( rom_address ),
.clock ( vga_clk ),
.q ( rom_data )
);
endmodule // data_drive

总结

通过本次实验,我了解了vga相关技术及实现方法,相信在今后这些一定会对我有所帮助。

物联1902-631907090123-谢林相关推荐

  1. mpython掌控板作品_mPython掌控板Easy-IoT物联

    [原由] 10月底,在做一个项目时用SioT物联掌控,发现了一个小问题,总是不稳定. 以前也用过SIoT和掌控板来做物联,没有感觉到问题啊,难道在测试时总是通了就好,没有做稳定性测试么? 和谢老师进行 ...

  2. 火热物联网下,中国传感器的冷思考

    文章来源于传感器专家网,转载自物联传媒,图片来源于网络 近20年,移动互联网的发展催生物联网产业的发展.如今智能硬件层出不穷,从智能手环到智能手表,从智能盒子到智能家居,无不是在强化硬件的远程操控力. ...

  3. 企鹅科技获蚂蚁金服亿元战略投资,利用智慧物联赋能商用洗衣设备

    2月25日消息,企鹅科技于去年11月获蚂蚁金服近亿元战略投资,此轮融资将用于市场拓展和技术研发等方面.据悉,2018年4月,企鹅科技曾获得上海小吉科技.杭州热店场天使轮融资. 据悉,企鹅科技成立于20 ...

  4. translucent可以设置中文吗_物联卡可以作无线网卡使用吗?物联卡笔记本上网设置流程...

    今年不少人都体验了一把在家办公的感觉,然而,有不少朋友反应家里没有wifi,还需要重新接根网线感觉很麻烦,而且很不划算,这时候大家都想到买张流量卡使用,那么,物联网卡可以当网卡放在笔记本上使用吗?需要 ...

  5. iphone连上wifi却上不了网_如何解决联通物联卡上不了网问题

    如何解决联通物联卡上不了网问题用户如果需要对物联卡进行续费或者其他操作,只能通过所购买的物联卡代理商进行.因此物联卡代理商,对于物联网卡用户来说,不仅有开户拿卡的作用,用户物联网流量卡后期.数据查询与 ...

  6. m5310采用芯片 中移物联_联想首发瑞芯微最新智能物联芯片产品

    近日,瑞芯微第五届开发者大会在福州举行.会上,瑞芯微发布了RK3588.RK3568等十款全新芯片方案.联想作为瑞芯微的首发产品合作伙伴,在大会现场推出了搭载瑞芯微ARM芯片的全新四大智能物联设备-- ...

  7. 请先设置tkk_物联卡apn设置机型大全!!物联卡连不上4G、网速慢?推荐你看这片文...

    ​​有不少朋友咨询关于卡不能正常上网的问题,今天卡神整理汇总了一些,希望对大家有所帮助. 当然,在文章中介绍一下关于物联网卡连接不上如何设置apn,请大家仔细阅读. 一.物联网卡不能正常使用,首先你的 ...

  8. Arduino--ESP8266物联网WIFI模块(贝壳物联)--数据上传服务器(单数据接口)

    一.简介 随着移动物联网的发展,各场景下对于物联控制.数据上传.远程控制的诉求也越来越多,基于此乐鑫科技推出了便宜好用性价比极高的wifi物联模块--ESP8266,话不多少我们先来看看这个神奇的模块 ...

  9. 物联卡接入号_物联卡apn设置机型大全!物联卡连不上4G,网慢,推荐你看这篇文章...

    有不少朋友咨询关于卡不能正常上网的问题,为了服务大众,今天小编整理汇总了一些自己了解的知识. 当然,在文章中介绍一下关于物联网卡连接不上如何设置apn,请大家仔细阅读. 一.物联网卡不能正常使用,首先 ...

  10. 巡检水中机器人_物联卡的应用,管廊隧道巡检机器人“上岗”啦!

    原标题:物联卡的应用,管廊隧道巡检机器人"上岗"啦! 管廊隧道巡检如今已经逐步智能化,管廊隧道巡检机器人代替人工自动巡检,大大降低了人工巡检成本与难度.管廊隧道巡检机器人的应用也得 ...

最新文章

  1. Navicat for Oracle Cannot load OCI DLL
  2. python自然语言处理课后答案
  3. IBM SOA解决方案
  4. Elasticsearch之kopf插件安装之后的浏览详解
  5. SQL Server2008导入导出数据库
  6. 上新啦!OpenMMLab全面更新!
  7. linux 进程间通信-信号量(semagpore)
  8. BZOJ 4033: [HAOI2015]树上染色
  9. 推荐10个 Chrome 插件
  10. 常用的三款专业的OCR文字识别软件
  11. Alienware-17-R2 Ubuntu killer无线网卡
  12. 楼板计算塑形弹性_土木吧丨弹性与弹塑性计算差异性分析
  13. 20194307肖江宇Exp-4 恶意代码分析
  14. 翻牌记忆类H5游戏的春天
  15. 手机计算机怎么输入汉字,手机word怎么插入形状并输入文字?
  16. 响应式 - 使视频自适应于屏幕宽度
  17. HTML内镶svg编辑器!后续改进,记录过程!
  18. ME51N 创建采购申请
  19. ITIL 4 升级大揭秘,你关心的问题都全了!
  20. 调用百度ai接口实现图片文字识别详解

热门文章

  1. Android 系统 UI - SystemUI之功能介绍和UI布局实现
  2. 无人驾驶中的SLAM技术
  3. 【SWAT水文模型】ArcSWAT输入准备
  4. Java字符串反转利用StringBuilder的api
  5. 与传统社区相比,快鲸智慧社区究竟好在哪里
  6. 如何用好ZBrush 中的移动笔刷
  7. 推荐一款ios软件叫你出我猜
  8. NoSQL数据库家族图谱
  9. java发送邮件,设置正文样式,配置发件人信息
  10. 常量池(运行时常量池 静态常量池)