题型:30单选(2分)+10多选(4分)

考察内容(列出关键字)

综合前、综合后仿真

Verilog编程(考了好几道,重点,熟悉常用的指令和符号优先级)

Verilog关键字

uvm sequence常用宏

测试点和测试用例

原码反码补码的表示范围

时钟域

逻辑门、逻辑运算

阻塞性赋值

异步时钟、同步时钟(频率不同是否为异步时钟)

Isolation cell

冯诺依曼结构和哈佛结构

亚稳态

动态功耗三部分

模块例化

数据选择器

半导体导带中发现电子的几率为零,则

Timescale A/B A和B代表什么

Latch电路

哪些对芯片DFT产生不利影响

影响CMOS电路功耗主要因素

Hold time violation和setup time violation解决

FPGA和ASIC芯片设计差异

哪些因素影响芯片静态功耗

异步逻辑、同步逻辑、格雷码

IC设计中,复位设计面临的问题:STA检查、去毛刺、时钟依赖程度、时钟域同步

逻辑复位电路

感想:代码题全是Verilog,数电是大头;Hr告诉我后面还有两轮技术面+部长面,技术面基本给过,但是我想说貌似投错岗位了......

2021.7华为海思数字IC机试(回忆版)相关推荐

  1. 【经验分享】研一ICer如何拿到海思数字IC实习offer

    本文首发于公众号[木叶芯],版权所有,禁止转载. 如需转载,请在评论区留言或私信申请,经同意后可转载,否则属于侵权行为. 作者昵称:城外南风起 原文链接:[经验分享]研一IC小白如何拿到海思数字芯片岗 ...

  2. 07-20210305在WIN10下通过USB口给华为海思Hi3516DV300刷机(鸿蒙系统)

    07-20210305在WIN10下通过USB口给华为海思Hi3516DV300刷机(鸿蒙系统) 2021/3/5 17:26 https://blog.csdn.net/cocoron/articl ...

  3. 08-20210305在WIN10下通过网口给华为海思Hi3516DV300刷机(鸿蒙系统)

    08-20210301在WIN10下通过网口给华为海思Hi3516DV300刷机(鸿蒙系统) 2021/3/5 11:03 https://bbs.elecfans.com/jishu_2002000 ...

  4. 在WIN10下通过网口给华为海思Hi3516DV300刷机(鸿蒙系统)

    08-20210301在WIN10下通过网口给华为海思Hi3516DV300刷机(鸿蒙系统) 2021/3/5 11:03 https://bbs.elecfans.com/jishu_2002000 ...

  5. 05-20210301在WIN10下通过串口给华为海思Hi3516DV300刷机(鸿蒙系统)

    05-20210301在WIN10下通过串口给华为海思Hi3516DV300刷机(鸿蒙系统) 2021/3/1 15:11 (!!!!请严重注意,串口烧录需要花很久很久的时间:2小时6分41秒.) h ...

  6. 05-20210222在WIN10下通过串口给华为海思Hi3518EV300刷机(鸿蒙系统)

    05-20210222在WIN10下通过串口给华为海思Hi3518EV300刷机(鸿蒙系统) 2021/2/23 17:38 1.串口刷机工具下载:HiTool-HM-5.4.9-win32-x86_ ...

  7. 南京大学计算机考研机试,2018南大CS考研机试回忆版

    三道题,每道题10个数据点,每数据点10分,合计300分.300分制的得分折算成50分制计入复试总成绩中. 题目来源:六月雪Yuni(SnowyJune973) 第一题 给出一棵满二叉树的先序遍历,有 ...

  8. 【数字设计】华为海思/消费者BG_笔试面试题目分享

    芯片设计验证社区·芯片爱好者聚集地·硬件相关讨论社区·数字verifier星球 四社区联合力荐!近500篇数字IC精品文章收录! [数字IC精品文章收录]学习路线·基础知识·总线·脚本语言·芯片求职· ...

  9. 数字 IC 设计、FPGA 设计秋招笔试题目、答案、解析(2)2021 华为海思(上)

    引言 最近收到诸多粉丝的来信,要求出一版<数字 IC 设计.FPGA 设计秋招笔试题精讲>,于是,通过几天几夜的加班加点,终于出了这一版<2021 华为海思秋招笔试题目.答案.解析& ...

最新文章

  1. SLAM图优化g2o
  2. Dijkstra的算法
  3. Spring的静态代理和动态代理
  4. .net core 下的HttpClient、WebClient性能测试
  5. Java / Spring:如何快速生成整个Swagger记录的CRUD REST API
  6. Can't process attribute android:fillColor=@color/gray,添加vector属性报错解决方法
  7. 队列的基本操作_算法与数据结构(五) 栈和队列
  8. VC++6.0中使用GDI+绘图(转载)
  9. 刹车时到底要不要踩离合器?
  10. utf-8编码用于asp出现乱码的问题--从数据库调用的是乱码
  11. matlab2c使用c++实现matlab函数系列教程-angle函数
  12. mac搭建k8s练习环境
  13. R语言 echarts4r 不显示图形_【课程预告】清华定量俱乐部lt;R专场gt;第三讲——R可视化基础...
  14. android contacts 源码分析,MTK Contacts代码分析
  15. 如何提取仙剑奇侠传4的模型
  16. 目标跟踪算法研究整理
  17. Excel常用电子表格公式大全【汇总篇】
  18. 电商物流快递意外延误创意海报设计PSD格式,用心良苦
  19. 李宏毅 机器学习 2016 秋:5、Classification:Probabilistic Generative Model
  20. oracle查询大于某个日期的数据,查看某天(或某个时间区间)的数据,请别用between ... and ......

热门文章

  1. background-size:cover | contain;
  2. linux服务器看门狗服务,服务器watchdog看门狗的理解
  3. 第三章:前端开发学习·第一篇:自主学习-无障碍设计
  4. 手把手教你扩展个人微信号(1)
  5. 【面试常问】谈谈你对多态的理解
  6. socks5进程代理模拟器单窗口单IP软件分享及使用教程
  7. python 开源cms内容管理系统_30 个很棒的 PHP 开源 CMS 内容管理系统
  8. fmute hang_detect 与ARM 镜像
  9. R语言书籍学习02 《R语言数据分析、挖掘建模与可视化》-第十三章 SVM模型
  10. 安东尼罗宾--激发你的无限潜能[连载]--3 4章