数字IC设计的一些英语术语总结(持续更新。。。)

AXI (Advanced eXtensible Interface ) 一种总线协议(AMBA3.0 一部分)
AMP (Asymmetric Multi-Processing ) 非对称多处理AMP构架
AMBA (Advanced Microcontroller Bus Architecture) ARM公司的片上总线协议
BD(Block Design)
DMA(Direct Memory Access)直接内存访问
FPGA (Filed Programmble Gate Array) 现场可编程门阵列
GPIO (General Propose Interface/Output) 通用输入输出接口
GIC(General Interrupt Controller)中断控制器
ICD (Interrupt Controller Distributor)中断控制分配器
I2C(Inter Integrated Citcuits)集成电路总线
IP (Internet Protocol)网络协议
IPcore(Intellectual Property core) 知识产权核,特指IC中硬件描述语言程序为基础的电路
ISA (Instruction Set Architecture) 指令集架构
MIPS (Millions of Instructions Per Second) 一种基于RISC指令架构
PCIe (Peripheral Component Interconnect express) 一种高速串行计算机扩展总线标准
PPI (Private Peripheral Interrupt )私有中断
QSPI(Queued SPI)SPI接口的扩展,Motorola 公司推出的
RISC (Reduced Instruction Set Computer) 精简指令集
RISC-V (RISC-five) 一种基于RISC开源指令架构
SoC (System on Chip) 片上系统
SGI (Software Generated Interrupt)软中断
SPI (Shared Peripheral Interrupt) 共享中断
SMP(Symmetric Multi-Processing)对称多处理器构架
UART (Universal Asynchronous Receiver/Transmitter) 通用异步收发传输器

数字IC设计的一些英语术语总结相关推荐

  1. linux mipi驱动分析_寒武纪社招内推数字IC设计、DSI驱动、软件架构、产品经理、芯片架构、工具链开发、深度学习、FAE工程师...

    点击上方蓝字关注我吧! 为什么内推更靠谱?内推是基于人脉关系链的推荐,其背后有一定的信用背书,靠谱的人推荐的人相对也会比较靠谱,所以企业一般职位都是从内部开始分享的,相较于自己海投简历,内推的效率和成 ...

  2. 数字IC设计学习笔记_Formality 形式验证

    数字IC设计学习笔记 Formality 形式验证 1. 基本特点 2. Reference Design 和Implementation Design 3. container 4. 读入共享技术库 ...

  3. 常见数字IC设计、FPGA工程师面试题

    转载自:常见数字IC设计.FPGA工程师面试题 我怕弄丢了,找不到了,所以转载过来吧,回答的还挺具有参考意义. 借个位置,顺便把另外一篇好文的地址附上:FPGA中的亚稳态 1:什么是同步逻辑和异步逻辑 ...

  4. verilog hdl数字集成电路设计原理与应用_数字IC设计经典书籍推荐

    数字IC设计流程很复杂,从前端到后端,也有很多职位.在这里整理了个数字IC各个环节的经典必读书籍.市面上的书籍种类纷繁复杂,这里每种只推荐两本左右,如果需要,建议知识类的书籍还是购买正版,尊重作者,也 ...

  5. 中级软件测试笔试题100精讲_数字IC设计职位经典笔试面试100题(71~80)

    71.什么叫做OTP片(OTP(一次性可编程)).掩膜片,两者的区别何在? OTP与掩膜 OTP是一次性写入的单片机.过去认为一个单片机产品的成熟是以投产掩膜型单片机为标志的.由于掩膜需要一定的生产周 ...

  6. 数字IC设计经典书籍

    1 <Verilog HDL高级数字设计> 中文版和原著.这本书本人以为是讲Verilog方面的最好的一本书,看完此书后,相信大家的code水平会有很大提高.书中例子及其丰富,涵盖了RIS ...

  7. 数字IC设计流程总结

    IC设计是一个很复杂漫长的过程,笔者以下图进行总结,其中后端总结的很模糊,后续了解学习后再进行补充.笔者会根据自己的理解,一步步的分享自己理解的设计流程.其中难免有问题错误,望同学老师指出,感谢!哔哩 ...

  8. IC - 什么是数字IC设计?

    什么是数字IC设计? 数字集成电路设计是一个程序化的过程,包括将规格和特性转换成数字块,然后再进一步转换成逻辑电路.与数字集成电路设计相关的许多限制来自铸造工艺和技术限制. 在数字集成电路设计的更高层 ...

  9. 数字IC设计入门方法与资料大全

    0. 前言 正准备入行数字芯片设计的同志们可以看看以下几篇行业入门介绍的文章,因水平有限,文中难免存在疏漏.错误之处,与最新技术脱节之处必定不少,敬请细心的读者不吝指教.有用的您带走,没用的就当笑话看 ...

最新文章

  1. 研究学者、医师与产业投资者齐聚一堂,将碰撞出何种火花?
  2. opencv中resize函数
  3. Spark MLlib学习
  4. LeetCode 19删除链表的倒数第N个节点-中等
  5. mysql 包 过程 job_mysql job实例全过程
  6. 前端学习(492):script之标签得位置
  7. 软件或jar包等名字里的GA意思
  8. 云原生的新思考,为什么容器已经无处不在了
  9. python中range语法
  10. delphi 按鈕 快捷鍵 ctrl+x 無效_应用日报 | 巧用快捷指令为头像加国旗 ,Price Tag 商城抽奖送 EarPods...
  11. 打单软件显示服务器错误是怎么回事,网络版仓库进销存,出入库存管理软件,送货单打印等连接不上解决【新版】...
  12. PMP培训机构哪家好,求推荐?
  13. 小爱同学app安卓版_小爱同学app下载安卓版|语音助手下载_最火软件站
  14. ShadowGun Deadzone 放出 GM Kit Mod 包
  15. 针式打印机色带选购知识要点解说
  16. 谷歌 draco学习 二 压缩点信息
  17. Ubuntu Linux
  18. 不用刷新,用 dfuse 流式搜索提供即时用户体验
  19. NTFS下的USN日志文件
  20. 【华人学者风采】郑宇 京东

热门文章

  1. 通过C++类方法地址调用类的虚方法
  2. Vuzix的M100安卓智能眼镜和Google Glass不同
  3. Google是如何通过拆股增强控制权的?
  4. css文件组件化(不需要再创css文件了)
  5. 适用于嵌入式单片机的差分升级通用库+详细教程
  6. import导入很慢,出现等待事件statement suspended, wait error to be cleared
  7. 7-2 长度质量计量单位换算 (5 分)
  8. 基于Springboot+mysql的闲置二手交易网站系统设计
  9. MSSQL数据库的字段类型总结
  10. 贝壳一键还原1.0 使用详解