PL 的"Hello World"LED 实验

参考文档《course_s1_ZYNQ那些事儿-FPGA实验篇V1.06》
Vivado版本 2018.3

一、创建工程

创建RTL工程,Target language选择“Verilog”,虽然选择 Verilog,但 VHDL 也可以使用,支持多语言
混合编程;设计时根据自己的板子选择器件型号。

二、编写代码

工程界面(项目设计流程也基本按照软件的流程进行);添加源代码创建Verilog文件后即可开始设计。
这里的IP catalog是Vivado提供的IP核,可以直接使用也可在BD中添加使用。
下面还有program and debug 用于生成bit文件下载程序调试等等

三、添加约束

3.1管脚约束(管脚位置和 I/O电平)

Vivado 使用的约束文件格式为 xdc 文件。xdc 文件里主要是完成管脚的约束,时钟的约束;可以通过
Window -> I/O Ports进行设置,也可以通过编写lxdc文件进行设置。这里我们需要对 led.v 程序中的
输入输出端口分配到 FPGA 的真实管脚上。

  XDC 编写的语法,普通 IO 口只需约束引脚号和电压管脚约束如下:set_property PACKAGE_PIN "引脚编号" [get_ports “端口名称”] 电平信号的约束如下:set_property IOSTANDARD "电平标准" [get_ports “端口名称”]

3.2时钟约束

一个 FPGA 设计除了管脚分配以外,还有一个重要的约束,那就是时序约束,这里通过向导方式演示
如合进行一个时序约束。 综合"Run Sythesis"点击“Constraints Wizard”按照指引修改时钟频率。成功
添加后 xdc 文件中时钟约束将会加入文件中。

create_clock -period 20.000 -name sys_clk -waveform {0.000 10.000} [get_ports sys_clk]

四、代码仿真

使用Vivado自带的软件进行仿真,编写好testbeach文件后,点击 Run Simulation 按钮,再选择
Run Behavioral Simulation。(在接下来的IP核学习中做进一步的展开说明)

五、下载验证

连接好开发板的 JTAG 接口,给开发板上电在“HARDWARE MANAGER”界面点击“Auto Connect”,自动连接设备可以看到 JTAG 扫描到 arm 和 FPGA 内核。

六、信号抓取ILA

Vivado 有内嵌的逻辑分析仪,叫做 ILA,可以用于在线观察内部信号的变化,对于调试有很大帮助。
点击 IP Catalog,在搜索框中搜索 ila,双击 ILA 的 IP。在要观测的文件中实例化ila。下载时添加bit和ltx文件。弹出的在线调试窗口出现添加的信号,点击运行便可以看到信号的数据
可以触发采集,在 Trigger Setup 窗口点击“+”,深度选择 timer_cnt 信号

本实验中设置好触发后再次点击运行,即可以看到触发成功(红标T),此时 timer_cnt 显示为十六进制,而 led 也在此时翻转。

Vivado的软件使用--PL 的LED 点亮实验相关推荐

  1. Vivado软件的使用——以led的交替闪烁为例

    文章目录 前言 一.创建工程 二.添加模块 三.分析综合 四.下载及结果展示 总结 前言 本文的主要内容是Vivado软件的使用,参考的资料是正点原子录制的视频以及其提供的资料,通过led灯交替闪烁这 ...

  2. 【基于zynq的卷积神经网络加速器设计】(一)熟悉vivado和fpga开发流程:使用Vivado硬件调试烧写hello-world led闪烁程序实现及vivado软件仿真

    HIGHLIGHT: vivado设计流程: note: 分析与综合 和 约束输入 可以调换顺序 [基于zynq的卷积神经网络加速器设计](一)熟悉vivado和fpga开发流程:使用Vivado硬件 ...

  3. 【ZYNQ Ultrascale+ MPSOC FPGA教程】第四章 PL的LED实验

    原创声明: 本原创教程由芯驿电子科技(上海)有限公司(ALINX)创作,版权归本公司所有,如需转载,需授权并注明出处. 适用于板卡型号: AXU2CGA/AXU2CGB/AXU3EG/AXU4EV-E ...

  4. 实验 使用 vivado zedboard GPIO 开关 开控制 LED

    前面我做了几个实验 都没有用过 开关,这一次用一用 发现 vivado 真的挺方便 所以 使用 vivado 开发 1.建工程 我使用 vivado 2013.4 创建新工程 –> next – ...

  5. P2中ZYNQ的PS控制PL端LED

    第一个ZYNQ的实验,用于熟悉开发环境和板卡,通过GPIO控制LED,由于P2在ps端没有LED,所以需要通过axi总线控制PL端LED. 1.参考设计 参考的是黑金的<PL 端和 PS 端的协 ...

  6. 【正点原子FPGA连载】第八章LED灯闪烁实验 -摘自【正点原子】领航者ZYNQ之FPGA开发指南_V2.0

    1)实验平台:正点原子领航者ZYNQ开发板 2)平台购买地址:https://item.taobao.com/item.htm?&id=606160108761 3)全套实验源码+手册+视频下 ...

  7. 【正点原子FPGA连载】 第八章 LED灯闪烁实验摘自【正点原子】DFZU2EG/4EV MPSoC 之FPGA开发指南V1.0

    1)实验平台:正点原子MPSoC开发板 2)平台购买地址:https://detail.tmall.com/item.htm?id=692450874670 3)全套实验源码+手册+视频下载地址: h ...

  8. Linux LED驱动开发实验(直接操作寄存器 -- 实际开发很少这样做)

    目录 Linux 下LED 灯驱动原理 地址映射(ioremap映射.iounmap释放) I/O 内存访问函数 硬件原理图分析 实验程序编写 LED 灯驱动程序编写 APP测试程序编写 运行测试 编 ...

  9. linux cached释放_正点原子Linux第四十一章嵌入式Linux LED驱动开发实验

    1)资料下载:点击资料即可下载 2)对正点原子Linux感兴趣的同学可以加群讨论:935446741 3)关注正点原子公众号,获取最新资料更新 第四十一章嵌入式Linux LED驱动开发实验 上一章我 ...

最新文章

  1. php doss_php下ddos攻击与防范代码
  2. 速领:1024大礼包
  3. Java 技术篇-mac操作系统JRE、JDK环境的配置演示
  4. 解决oracle主键问题,解决renren-security使用oracle主键问题
  5. 开源在线机器学习Online Learning/Incremental Learning库-creme介绍
  6. 判断scrollview是否滚动到了底部
  7. 一个很不错的LINUX基本操作归纳
  8. python 每周第一天和最后一天
  9. 【centos】快速截图设置
  10. 用迅雷下载百度网盘的文件
  11. jeecms导入myeclipse时web-inf下html出错,jeecms myeclipse
  12. 图像处理——中值滤波
  13. mongodb不等于某个值_mongodb条件查询不等于
  14. 爬虫mysql数据清洗_爬虫分析之数据存储——基于MySQL,Scrapy
  15. python解决数学问题
  16. nginx.pid-nginx: [error] open() /var/run/nginx.pid failed (2: No such file or direc
  17. 【芯片应用】DAC8554
  18. k8s存储+storageclass自动创建pv+StatefulSet自动创建pvc
  19. 软件构造课程面向对象编程学习心得
  20. 【屏蔽贴吧广告】贴吧广告屏蔽办法-解决方案 【普通用户 非会员】

热门文章

  1. 小技巧:双击Office工具栏可隐藏工具条
  2. premiere pro安装
  3. 2018研电赛 “RT-Thread企业专项奖” 赞助商通告
  4. 英文版-安静-Hillsong-Still
  5. 浅谈堡垒机优化的必要性-行云管家
  6. 经典| 单轨RGV环形穿梭车| 连续搬运
  7. springBoot+myBatis配置基于mysql的读写分离
  8. 黑马程序员16——OC之Fundation(NSNumber)
  9. 删除已存在的SVN账户信息
  10. 如何掌握所有的程序语言