[Common 17-48] File not found:********ethernet_test.ltx

造成的原因以及改正:把一个芯片自带的时钟写进别的模块里了,可以生成比特流文件,但是不能少烧进板子里面。我当时是ip核生成的时钟,报错了。关掉文件,从新打开,把步骤跑一边,如果还有错,就打开放bit文件impl_1,再放一边,巨玄学,然后就过了。(仅限本人bug)

[VRFC 10-3180] cannot find port 'len' on this module 

原因及其改正:最开始定义了pack_len,这个变量应该是名字有问题,跟主函数模块有重复,把名字改了,就好了,就没有什么别的问题。

[Synth 8-6859] multi-driven net on pin en with 1st driver 

原因以及改正:一个变量在多always语句块中被定义,建议一个变量写在一个always中。多个语句块仿真会报错,但是可以下载bit文件。可以用buf_***替代。

[VRFC 10-3236] concurrent assignment ******

原因:在测试文件里面,输入用reg类型,输出用wire类型。检查一下确实是因为这个原因,然后可能会同时报错[XSIM 43-3322] Static *****这个错误。(XSIM 43-3322] 这个错误也有可能是测试文件命名有问题。)

*本次代码查阅了很多资料,借鉴了许多大佬的博客,非商业用途,自行学习,谢谢

fpga vivado 错误总结(本人遇到以及改正)相关推荐

  1. 基于 FPGA Vivado 的数字钟设计(附源工程)

    今天给大侠带来基于 FPGA Vivado 的数字钟设计,开发板实现使用的是Digilent basys 3,如有想要入手 basys 3 开发板的,可以联系牛总:18511371833.话不多说,上 ...

  2. 基于 FPGA Vivado 的74系列IP封装(附源工程)

    今天给大侠带来基于 FPGA Vivado 的 74 系列IP封装,开发板使用的是Digilent basys 3,如有想要入手 basys 3 开发板的,可以联系牛总:18511371833.话不多 ...

  3. 基于 FPGA Vivado 信号发生器设计(附源工程)

    今天给大侠带来基于 FPGA Vivado 信号发生器设计,开发板实现使用的是Digilent basys 3,如有想要入手 basys 3 开发板的,可以联系牛总:18511371833.话不多说, ...

  4. 基于 FPGA Vivado 示波器设计(附源工程)

    今天给大侠带来基于 FPGA Vivado 示波器设计,开发板实现使用的是Digilent basys 3,如有想要入手 basys 3 开发板的,可以联系牛总:18511371833.话不多说,上货 ...

  5. FPGA Vivado XDC 约束文件编写方式语法笔记

    参考手册: UG625:https://china.xilinx.com/support/documentation/sw_manuals/xilinx14_7/cgd.pdf UG903:https ...

  6. FPGA — Vivado下ILA(逻辑分析仪)详细使用方法

    使用软件: Vivado 开发板: EGO1采用Xilinx Artix-7系列XC7A35T-1CSG324C FPGA 使用程序:按键案例 ILA详细使用方法 一.ILA简介 二.ILA的使用方法 ...

  7. fpga初始化错误_一种SRAM型FPGA单粒子效应加固平台设计

    随着半导体技术的高速发展,大规模集成电路变得更加复杂,开发周期变得更长.FPGA由于具备可编程性,其广泛应用可以降低电路的开发成本.然而,单粒子翻转(SEU)会使FPGA内部的大量的存储器变得不可靠, ...

  8. fpga初始化错误_FPGA低温启动失败

    本文来源:https://blog.csdn.net/shanekong/article/details/29923925 现象描述 在给 medium 板光端机做低温试验时,分别给发送版.接收板断电 ...

  9. FPGA Vivado设计流程

    今天给大侠带来Vivado设计流程,话不多说,上货. 本篇通过创建一个简单的HDL工程,学会使用Vivado集成开发环境.学会如何使用Vivado进行设计.仿真.综合以及实现一个项目,生成比特流文件并 ...

最新文章

  1. 你解决的问题比你编写的代码更重要!
  2. wxpython安装失败_在Windows XP上安装wxPython后,“导入wx”失败
  3. 15个Google面试题以及答案~~~~你会几个?
  4. 快速排序 (Quick Sort)(Java实现)
  5. oracle 字典表查询
  6. 循环中需要调用异步怎么确保执行完再执行其他的_JavaScript的工作原理:事件循环及异步编程...
  7. js经纬度十进制度和度分秒互转
  8. nexus5 博通芯片WIFI详解 (3)
  9. 华为“杀疯了”:发布“摸鱼”神器10余款新品
  10. 结构化数据与非结构化数据
  11. VSCode下配置R语言环境(Windows)
  12. CentOS 7安装chrome
  13. 手动从注册表添加“从这打开CMD”命令
  14. 是面试官放水,还是公司实在是太缺人?这都没挂,字节原来这么容易进...
  15. latex 编译新的tex时,缺少sty文件时的安装方法
  16. html经典坦克大战,HTML+CSS+JQ试做经典坦克大战(二)
  17. 一文读懂MES是什么,浅谈EMS系统带给企业的好处
  18. 网络游戏和视频体验度量
  19. 10.5 外部中断的处理过程
  20. 长理2017 f0803 求和:s=1-(1/2)+(1/3)-(1/4).........+(1/n),编写函数fun(char*s),实现字符串的逆置编写程序从基类派生圆柱,设计(均为可运行代码)

热门文章

  1. 牛人总结!22条机房电源常识
  2. Spring Tools Suite (STS) 简介及下载
  3. html 串行通信接口,接口类型怎么区分串口和并口?
  4. EasyExcel导入(简单好用)
  5. Laravel框架中,Post请求返回419或者500,因为默认有csrf验证
  6. Navicat Premium 注册机的使用流程(PATCH)很重要,需要找到Navicat Premium 12的文件夹中的Navicat Premiume.e执行文件
  7. CNN 基本部件-常用激活函数详解
  8. 万里学院计算机答辩几月份,2019届浙江万里学院硕士联培生毕业答辩会
  9. 初学PCB设计,到底该学习哪款软件
  10. android 仿蘑菇街效果,Vue项目-仿蘑菇街移动端Web开发