目录

概述:

什么是VHDL:

VHDL的起源及发展:

使用VHDL的目的:

VHDL的用途:

VHDL与verilog HDL的比较:

VHDL特点:

举例:二路选择器描述的变化


概述:

什么是VHDL:

VHDL—Very-High-Speed Integrated circuit Hardware Description Language

VHDL的起源及发展:

80年代初,由美国国防部在实施超高速集成电路(VHSIC)项目时开发的

1987年,IEEE协会批准为IEEE工业标准,称为IEEE1076-1987

1993年,被更新为93标准,IEEE1076.93

1996年,IEEE1076.3称为综合标准

使用VHDL的目的:

把电子电路的设计意义以文字或文件的形式保存起来,以便其它人能方便地了解电路的设计意义

VHDL的用途:

主要用于描述字系统的结构、行为、功能和接口

VHDL与verilog HDL的比较:

相同点:

都是主要的硬件描述语言

都能以文本形式抽象表示电路的行为和结构

都可以从系统行为级,寄存传输门寄存器传输级和门级进行电路描述

不同点:

语法差异,verilo g是类 C语言,简单易用,而VHDL语句较为晦涩,使用难度大

VHDL侧重于系统描述,更适合系统级设计

Verilog 侧重于电路级描述,更适合电路设计

VHDL特点:

系统硬件描述能力强

与器件无关,与工艺无关

IEEE工业标准

方法灵活,技术齐全

可读性好

举例:二路选择器描述的变化

VHDL语言基础-概述相关推荐

  1. VHDL语言的概述(诞生与发展)

    一个完整的VHDL语言程序通常包含实体(Entity).构造体(Architecture).配置(Configuration).包集合(Package)和库(Library)5个部分. 实体用于描述所 ...

  2. VHDL语言基础-VHDL程序的基本结构与主要构件

    目录 VHDL程序的基本结构: 一个完整的VHDL程序包括: Example: VHDL的主要构件: VHDL程序的基本构件: 主要构件: VHDL的主要构件-库: 使用格式: Example: VH ...

  3. VHDL语言基础-基本语句

    目录 VHDL基本语句: 并行语句: 并行语句常包括以下七种: 赋值语句: 使用格式: 条件赋值语句: 使用格式: 选择信号赋值语句: 使用格式: 进程语句: 使用格式: Example:D触发器: ...

  4. C语言基础概述(一)

    C语言是一门面向过程的.抽象化的通用程序设计语言,广泛应用于底层开发.C语言能以简易的方式编译.处理低级存储器.C语言是仅产生少量的机器语言以及不需要任何运行环境支持便能运行的高效率程序设计语言.尽管 ...

  5. VHDL语言基础-数据类型及运算符

    目录 VHDL的数据类型: VHDL中定义的10种标准数据类型: 约束区间说明: 用户自定义数据类型: 使用格式: 常用的用户自定义类型: 枚举类型: 子类型SUBTYPE: 整数类型和实数类型: 数 ...

  6. vhdl语言基础篇-for

    1.for语法使用规则 标号:for 循环变量 in 离散范围 generate <并行语句>; end generate 标号; 代码示例如下: signal data_7p4bit : ...

  7. VHDL语言基础-组合逻辑电路-基本逻辑门电路

    数字电路中的四种基本操作是与.或.非及触发器操作,前三种为组合电路,后一种为时序电路.与非 .或非和异或的操作仍然是与.或.非的基本操作.与.或.非.与非.或非和异或等基本逻辑门电路为常用的门电路. ...

  8. 计算机考研C语言基础

    计算机考研C语言基础 概述 ​ C语言是一门面向过程的.抽象化的通用程序设计语言,广泛应用于底层开发.C语言能以简易的方式编译.处理低级存储器.C语言是役产生少量的机器语言以及不需要任何运行环境支持便 ...

  9. 1.VHDL的基础知识

    目录 1.VHDL语言基础 1.1.标识符 (Identifiers) 关键字(保留 字): 1.2.数据对象(Date Objects) 常量 Constant 变量Variable 信号Signa ...

最新文章

  1. 迁移学习全面指南:概念、项目实战、优势、挑战
  2. 记录一下海上风力机机械装置
  3. 辞旧迎新,总结2010,展望2011
  4. 在线富文本编辑器 UMeditor
  5. 深圳出台数据中心PUE新政,或将开启千亿级节能市场
  6. 2019招商银行M-Geeker线上比赛题解析
  7. MATLAB插值问题
  8. 使用java.util.concurrent包处理多线程
  9. kernel shell bash简介
  10. 一场由fork引发的超时,让我们重新探讨了Redis的抖动问题
  11. win7计算机无法最大化,主编教您win7游戏无法全屏怎么办
  12. 做训练营需要具备什么样必备条件?
  13. Beetl模板 [记录]
  14. python以文本方式字节流_如何在Python3中将文本流编码为字节流?
  15. adb shell按键操作(input keyevent)
  16. APP扫码登录WEB系统
  17. MacOS Big Sur 11.4 (20F71) OC 0.7.0 / Cl 5135 / PE 三分区原版黑苹果镜像
  18. 正反转信号判别原理以及数字鉴相器原理
  19. java openoffic linux_怎样使用Java读取OpenOffice文档
  20. 小葵花妈妈课堂开课了《RecyclerView 复用解析》

热门文章

  1. CUID卡抠抠复制浅谈
  2. 数据回归方法(二)—— 多元回归
  3. 阿里云OSS服务使用操作流程
  4. java集合之List线程安全性比较总结
  5. 智慧档案馆一体化监控系统设计所需要的10条依据
  6. 埃克塞特大学招收医学图像博士生
  7. (附源码)计算机毕业设计ssm高校体育馆管理信息系统
  8. 图新地球:同豪建模成果如何精准导入匹配影像地形
  9. 如何实现RS232/485串口转CANbus总线以及介绍使用说明
  10. STC15单片机-通过PWM调整灯亮度