最近在做滤波器的东西,发现Verilog中有>>>这个操作,网上查了一番,发现讲的不是很清楚,就自己仿真了顺手写个记录。

下面首先定义输入输出:a和b都是有符号位的5bit端口,c和d是无符号位的5bit端口。

运算很简单

向右移1位

向右移2位

仿真结果

向右移1位的运算结果

向右移2位的运算结果

结论

1、符号数,符号位为1,使用>>>,高位补1;
2、符号数,符号位为0,使用>>>,高位补0(和>>相同);

3、符号数,无论最高位是什么,使用>>>,高位补0;

verilog中>>>和>>的区别相关推荐

  1. verilog中=和=的区别

    一般情况下使用<=,组合逻辑使用=赋值,时序逻辑使用<=赋值: 举个例子:初始化m=1,n=2,p=3:分别执行以下语句 1.begin m=n:n=p:p=m: end 2.begin ...

  2. Verilog中`define和parameter有什么区别

    Verilog中使用`define和parameter有什么区别? `define和parameter都可以在设计中用来指定常量. 例如: 以下是使用`define和parameter的一些区别: 什 ...

  3. Verilog 中 wire 和 reg 数据类型区别

    两者差别很大,完全不能取消. 在Verilog中,wire永远是wire,就是相当于一条连线,用来连接电路,不能存储数据,无驱动能力,是组合逻辑,只能在assign左侧赋值,不能在always @ 中 ...

  4. Verilog中wire与reg类型的区别

    这是事转载的一篇文章,觉得不错,虽然中间有点小错误. wire与reg类型的区别: wire型数据常用来表示以assign关键字指定的组合逻辑信号.模块的输入输出端口类型都默认为wire型.默认初始值 ...

  5. Verilog中wire与reg类型的区别(转载自http://www.cnblogs.com/farbeyond/p/5204586.html)

    wire与reg类型的区别: wire型数据常用来表示以assign关键字指定的组合逻辑信号.模块的输入输出端口类型都默认为wire型.默认初始值是z. reg型表示的寄存器类型.always模块内被 ...

  6. Verilog中 reg和wire 用法 以及always和assign的区别

    1.从仿真角度来说,HDL语言面对的是编译器,相当于使用软件思路,此时: wire对应于连续赋值,如assign: reg对应于过程赋值,如always,initial: 2.从综合角度,HDL语言面 ...

  7. Verilog中reg和SystemVerilog中logic的区别

    关于reg和logic的区别,在SystemVerilog验证测试平台编写指南中有所提出,如下所示: SystemVerilog对经典的reg数据类型进行了改进,使得他除了作为一个变量以外,还可以被连 ...

  8. verilog中define、parameter、localparam的区别

    Verilog代码可移植性设计 1.       参数定义 localparam,实例代码如下: module tm1( clk,rst_n, pout ); input clk; input rst ...

  9. verilog中task、function和moudle的区别

    verilog中task.function和moudle的区别 task可以启动其他任务或者函数:而function不能启动任务 task可以定义自己的仿真时间,而function不可以: funct ...

  10. 萌新食用:Verilog中wire和reg数据类型的区别

    萌新食用:Verilog中wire和reg数据类型的区别 最近恰好在学习数电相关知识扯到Verilog中的wire与reg类型数据的区别: 总的来说,其实就一句话:wire相当于物理连线,而reg相当 ...

最新文章

  1. resin3.1+apache2.2.8 整合(笔记)
  2. Linux2.6内核 -- 结构的初始化
  3. 用了这个 IDE 插件,5分钟解决前后端联调!
  4. python代码案例详解-Python编程:案例详解输出函数print
  5. windows下配置ssh(FreeSSHD + putty)
  6. python遇到Retry(total=4, connect=None, read=None, redirect=None, status=None)解决方案
  7. indigo egit
  8. Ansible系列之roles使用说明
  9. RocketMQ各种集群模式介绍
  10. linux datetime,Python datetime模块示例详解
  11. (五)nodejs循序渐进-回调函数和异常处理(基础篇)
  12. 初识弹性文件服务:可靠的共享文件存储
  13. c语言大作业走迷宫,基于C语言实现简单的走迷宫游戏
  14. mysql mac版本_mysql的安装、启动和基础配置 —— mac版本
  15. GS(道具,帮会)定时存储
  16. word 文档规范,比如标题、正文的字号
  17. latm header与 ADTS header之间的转换
  18. English语法 - 序章(重新认识英语)
  19. Java实现电脑屏幕的截取并保存成图片
  20. Excel中如何进行快速单位换算

热门文章

  1. 远程台式机接入销售管理系统crm销售管理软件
  2. mysql 命令_常见的MySQL命令大全second
  3. 【C语言】利用数组实现最小值和次小值及其各自下标
  4. 74ls161中rco是什么_【别找了全在这】硬件工程师经典笔试题集锦!
  5. JAVA翻译HTML网页内容
  6. 引见GPG饬令加密文件的措施
  7. c语言实现天气预报步骤,天气预报的制作流程
  8. 工作分解结构(WorkBreakdownStructureWBS)
  9. C语言编程随角度变换的四边形的面积
  10. (转载)VC的内存泄漏检查