Abtract
generate语句允许细化时间(Elaboration-time)的选取或者某些语句的重复。这些语句可以包括模块实例引用的语句、连续赋值语句、always语句、initial语句和门级实例引用语句等。细化时间是指仿真开始前的一个阶段,此时所有的设计模块已经被链接到一起,并完成层次的引用。
Introduction
1.generate语法
定义genvar,作为generate种的循环变量。
generate语句中定义的for语句,必须要有begin,为后续增加标签做准备。
begin必须要有名称,也就是必须要有标签,因为标签会作为generate循环的实例名称。
可以使用在generate语句中的类型主要有:
ü module(模块)
ü UDP(用户自定义原语)
ü 门级原语
ü 连续赋值语句
ü initial或always语句

基本结构如下:

genvar 循环变量名;

generate

// generate循环语句// generate 条件语句// generate 分支语句// 嵌套的generate语句

endgenerate

下面将就generate常用的几种情况举例说明。

2. generate-loop循环语句
3.generate-conditional条件语句
generate允许对语句进行条件选择,即将条件选择加入到generate中的for循环中,只例化条件成立时对应的语句或者module。

4.generate-case分支语句
generate-case分支语句与generate-条件语句类似,只不过将原来的分支语句换做了case语句。
Conclusion
genvar与generate是Verilog 2001才有的,功能非常强大,可以配合条件语句、分支语句等做一些有规律的例化或者赋值等操作,对于提高简洁代码很有帮助,同时也减少了人为的影响。

关于genvar及generate用法的总结【Verilog】相关推荐

  1. [转载]关于generate用法的总结【Verilog】

    转载自http://www.cnblogs.com/nanoty/archive/2012/11/13/2768933.html Abtract generate语句允许细化时间(Elaboratio ...

  2. Verilog中generate用法总结

    Verilog中generate用法总结 1.generate-for 2.generate-if 3.generate-case 生成语句可以动态的生成verilog代码,当对矢量中的多个位进行 重 ...

  3. 关于generate用法的总结【Verilog】

    Abtract generate语句允许细化时间(Elaboration-time)的选取或者某些语句的重复.这些语句可以包括模块实例引用的语句.连续赋值语句.always语句.initial语句和门 ...

  4. 【FPGA】Verilog中generate用法

    创作时间:2021-08-18 1.一些说明: generate循环的语法与for循环语句的语法很相似. genvar只有在建模的时候才会出现,在仿真时就已经消失了. 但是在使用时必须先在genvar ...

  5. java generate()_Java IntStream generate()用法及代码示例

    IntStream generate(IntSupplier s)返回一个无限顺序无序流,其中每个元素由提供的IntSupplier(提供int值的结果)生成.这适用于生成恒定流,随机元素流等. 用法 ...

  6. generate用法

    verilog2001中在呢个价四个关键字,generate,endgenerate, genvar, localparam. genvar是一个新增的数据类型,用在generate的循环中的标尺变量 ...

  7. Verilog中生成语句(generate)的用法

    一:generate Verilog-2001添加了generate循环,允许产生module和primitive的多个实例化,同时也可以产生多个variable,net,task,function, ...

  8. Verilog 之 generate语句块用法

    文章目录 一.generate-for-复制模块 二.generate-if-判断模块 三.generate-case-判断模块    genvar与generate是Verilog 2001才有的, ...

  9. 【IEEE_Verilog-12.4】generate的用法

    12.4 Generate construct generate构造用于在模型中有条件地或实例化的生成块.生成块是一个或多个模块项的集合.一个生成块不能包含端口声明.参数声明.指定块或specpara ...

最新文章

  1. python目录在哪里_python安装后的目录在哪里
  2. 读研,竞赛,与实习--公子龙的成长经历
  3. 认证方案之初步认识JWT
  4. python删除txt指定内容_使用Python删除文本文件中的部分内容 | 学步园
  5. 马云:未来30年大数据时代,如何避免成为穷人?
  6. JEEWX 使用ngrok将本地Web服务映射到外网
  7. postgress无法远程连接问题解决方案
  8. 敢问多任务学习优化算法路在何方?|附代码
  9. MINIEYE完成B轮融资,四维图新基金战略领投
  10. ZTree async中文乱码,ZTree reAsyncChildNodes中文乱码,zTree中文乱码
  11. Goto是关键?思考
  12. 蓝屏dump分析教程,附分析工具WinDbg
  13. colorui开发文档_小程序原生高颜值组件库--ColorUI
  14. 测试@Test启动报错解决方案
  15. IntelliJ IDEA使用记录:maven projects-compile提示【**类,找不到符号】
  16. SpringSecurity实战(二)-基于数据库认证授权
  17. android ui 扁平化,为何UI集体变风格 扁平化是未来主流吗?
  18. 红孩儿编辑器的模块设计5
  19. c语言位域变量定义,C语言位域_Lee_1985的博客的技术博客_51CTO博客
  20. 常用布局方式与常用css

热门文章

  1. 【NOI模拟赛】纸老虎博弈(博弈论SG函数,长链剖分)
  2. 人工神经网络 经验公式_文科生看了会沉默,理科生看了会流泪的物理公式!你知道几个?(看完长见识)...
  3. java的框架是干嘛的_spring框架是干什么的
  4. 【办公自动化】Python图像操作术
  5. 计算机图像处理数据 流行病学,漫谈计算机图像处理在全息学中的应用.docx
  6. 查找书籍(20 分)
  7. 博客已迁移至简书:https://www.jianshu.com/u/68409598ede7
  8. common.reg
  9. Ubnutu 部署 k8s 的一个坑
  10. echarts-环形图中心显示文字(二)