习题来源:https://hdlbits.01xz.net/wiki/Main_Page

习题要求: 实例化三个my_dff8模块,然后将它们链接在一起,形成一个长度为3的8位宽移位寄存器,在此基础上实现一个4:1多路复用器,根据触发器的取值来选择要输出的内容。

习题分析:

1、需要注意三条8位矢量线,这里可以定义三个wire变量;

2、多路复用器可以用case函数来实现;

3、注意位宽;

module top_module ( input clk,input [7:0] d,output [7:0] q
);wire [7:0] q1,q2,q3;/*实例化三个my_dff8模块*/my_dff8 my_dff8_1 ( .q(q1), .clk(clk), .d(d) );my_dff8 my_dff8_2 ( .q(q2), .clk(clk), .d(q1));my_dff8 my_dff8_3 ( .q(q3), .clk(clk), .d(q2));/*实现多路复用寄存器*/always @(*) begincase (sel)1'd0 : q = d  ;1'd1 : q = q1;1'd2 : q = q2;1'd3 : q = q3;endcaseendendmodule

编译结果:

结果分析:  sel为0、1、2、3时,d分别输出对应d、q1、q2、q3的值;

Verilog 习题笔记_实例化模块多路复用器相关推荐

  1. Verilog学习笔记-——Verilog模块例化

    Verilog学习笔记---Verilog模块例化 在一个模块中引用另一个模块,对其端口进行相关连接,叫做模块例化.模块例化建立了描述的层次.信号端口可以通过位置或名称关联,端口连接也必须遵循一些规则 ...

  2. 系统架构师学习笔记_第六章(下)_连载

    系统架构师学习笔记_第六章(下)_连载 6.3 基于 UML 的软件开发过程 6.3.1  开发过程概述 UML 是独立于软件开发过程的,能够在几乎任何一种软件开发过程中使用.迭代的渐进式软件开发过程 ...

  3. 【Verilog学习笔记】D触发器(门级和行为级)+4位寄存器+一个完整的激励程序

    [Verilog学习笔记]D触发器(门级和行为级)+4位寄存器+一个完整的激励程序 首先展示以下完整的程序 `timescale 1ns / 1psmodule hardreg( input wire ...

  4. E203 蜂鸟 RISC-V处理器代码阅读笔记 之指令预取模块2(指令分支预测) e203_ifu_litebpu.v.v

    这个文章记录了我学习RISC-V蜂鸟E203处理器的学习历程 这是我正式阅读代码学习的第3个源代码文件 针对代码的学习,我结合自己的理解对每个module的接口,以及内部关键信号做了详细的注释说明 原 ...

  5. Verilog学习笔记——入门

    Verilog学习笔记 01 基本逻辑门代码设计与仿真 Veriog基本逻辑门代码结构--以一位反相器为例 ModelSim仿真基本流程 02 组合逻辑代码设计与仿真--多路选择器 二选一逻辑--as ...

  6. python笔记_第三周

    python笔记_第三周 第十天 回调函数 回调函数就是一个被作为参数传递的函数把函数a当做一个值 赋值给函数b的形参, 在调用函数b的时候 在函数体内 适当的实际调用函数a, 这个函数a就是回调函数 ...

  7. UE4_Niagara基础2_学习笔记_枪火制作

    教程地址:https://www.bilibili.com/video/BV1fE411b7at 一套基础入门且案例非常完整的教程,能够比较快的走一遍制作流程 讲师:贾越(Epic Fornite T ...

  8. Verilog学习笔记

    Verilog学习笔记 本文根据学习菜鸟教程下Verilog教程总结得到,主要记载一些硬件语言描述下的骚操作,仅供学习. 归约操作符 归约操作符包括:归约与(&),归约与非( ~ &) ...

  9. 关于幂律分布的一个笔记_哈克_新浪博客

    关于幂律分布的一个笔记_哈克_新浪博客 关于幂律分布的一个笔记     (2011-03-02 18:12:27)     转载▼     标签:     幂律     二八法则     杂谈     ...

最新文章

  1. keras 的 example 文件 conv_lstm.py 解析
  2. 脑机接口简史——假如这篇推送是你靠意念打开的
  3. An error occurred during the file system check
  4. python function函数_Python34-06-函数(function)
  5. scala学习笔记-Array、ArrayBuffer以及遍历数组(7)
  6. 【转】经典SQL语句大全
  7. 计算机网络(八)——web的工作原理
  8. angular NG-ZORRO 跑马灯左右控件
  9. python版本控制git_实验一:Git代码版本管理
  10. 微信公众号采坑之授权config
  11. docker 多容器连接 以Nginx+Php为例
  12. html中label宽度设置、非替换元素和替换元素
  13. ext2文件系统源代码之inode.c
  14. Dagger2的简单使用及基本原理
  15. 用python预测你的小孩的身高_孩子身高预测
  16. Java发送http的get、post、put请求
  17. 电脑管理android手机版下载失败怎么办,腾讯手机管家PC版轻松解决Android文件管理难题...
  18. kafka(15) Kafka Connect
  19. Java数据同步方案
  20. Exploring Word Vextors

热门文章

  1. 简单的C语言printf函数输出
  2. perl 数组引用_Perl中数组引用的魔力
  3. FAT32文件系统下文件恢复
  4. 常火线、ACC(汽车知识)以及家用线常见接法
  5. 演讲比赛流程管理系统
  6. 【Vijos】P1158 小三学算术
  7. 藏无可藏 你在网上泄露的隐私超乎你的想象
  8. 2022年浙江执业护士资格考试试题及答案
  9. Base64加密解密方法
  10. MySQL数据备份方案(兼容本地和远程)