VCS/VCSMX 一般仿真步骤

VCS仿真可以分成两步法或三步法, 对Mix language, 必须用三步法。仿真前要配置好synopsys_sim.setup文件,里边有lib mapping等信息。设置环境变量’setenv SYNOPSYS_SIM_SETUP /xxx/xxx/synopsys_sim.setup’. VCS对应的waveform工具有DVE和Verdi, DVE因为是原生的,所以VCS对DVE非常友好。但DVE已经过时了,其对uvm等新feature支持的不好。Verdi是Debussy公司的产品,现在已被Synopsys收购并着力发展,说以Verdi是未来的潮流。但由于其原来是Synopsys第三方产品,所以VCS对其支持并不是很友好。 如果要支持Verdi,需要设置好NOVAS_LIB_PATH的环境变量,并且在命令行中添加-kdb的option,knowledge database(kdb)是VCS支持Verdi时的重要概念。另外,VCS支持vpd和fsdb两个格式的dump wave。 fsdb的文件相对比较小。

Step 1: analysis verilog/system verilog/VHDL;

命令例子:

     vlogan -kdb -work DEFAULT [rtl.defs] -f filelistname -l  logfilename +incdir+include_dir_name  top.v    //对verilog file 进行analysisvlogan -kdb -sverilog +define+SVA_OFF -work DEFAULT -f vlog.flist -l  logfilename.log  //对systemverilog进行analysisvhdlan -kdb -vhdl87  -full64  -work $VCS_LIB  -f VHDL87_FILELIST -l vhdl87.log   //对VHDL87进行analysisvhdlan -kdb -93  -full64  -work $VCS_LIB  -f VHDL93_FILELIST -l vhdl93.log   //对VHDL93进行analysis

Step 2: Elaboration

命令例子:

  vcs -kdb -lca -noIncrComp +lint=TFIPC-L +lint=PCWM -debug_all -P /xxx/synopsys/verdi/xxxxxx/novas_new_dumper.tab  /xxx/synopsys/verdi/xxx/pli.a top_module_name  -l elab.log  -Mdir=/xxx/incr_compile -o /xxx/simv

Step 3: Run simulation

命令例子:

simv +DUMP_FSDB +FSDB_FILENAME=xxx.rtl.fsdb +PLUSSEED +seed=146123456 -l /xxx/xxx.sim.log
simv +DUMP_VPD +VPD_FILENAME=xxx.rtl.fsdb +PLUSSEED +seed=146123456 -l /xxx/xxx.sim.log

注意,上面的两个命令中,参数DUMP_FSDB/VPD是一个dump波形的使能参数,需要在top testbench中添加dump wave的系统命令,配合使用才起作用:

reg [511:0] WAVE_FILENAME; // string definitionif ($test$plusargs("DUMP_FSDB"))  beginif ($value$plusargs("FSDB_FILENAME=%s", WAVE_FILENAME))    begin$fsdbDumpfile(WAVE_FILENAME);  // 具体系统命令查询Verdi安装目录下的文档:linking_dumping.pdfendendif ($test$plusargs("DUMP_VPD"))  beginif ($value$plusargs("VPD_FILENAME=%s", WAVE_FILENAME)) begin$vcdplusfile(WAVE_FILENAME);$vcdpluson();endend

GUI

在仿真中产生coverage database

  1. 在analysis step不需要做特殊处理(vlogan/vhdlan)

  2. 在elaboration step需要添加这些option:

“-cm line+cond+fsm+tgl+branch -cm_linecontassign -cm_cond allops+anywidth+event -cm_noseqconst -debug_all”

  1. 在simulation step添加这些option:“-cm line+cond+fsm+tgl+branch”

仿真产生的coverage data会放在simv.vdb目录下,用“dve -covdir *.vdb”会以GUI形式打开

coverage 相关命令

产生coverage report的命令

urg -lca -dir <simv1.vdb simv2.vdb ….> -format <text|html|both> -log <log_file_name> -report

例如:
urg -lca -dir simv.vdb -format text -log urg.log 在默认的report目录urgReport产生各个metrics(line/fsm/branch/condition)的报告
urg -lca -dir simv.vdb -metric line+fsm -format text -log urg.log 只产生line和fsm的报告
urg -lca -dir simv.vdb -format text -log urg.log –show summary

coverage merge的命令

urg -lca -f

例如:
urg -lca -f urgfile -map dut_name -format text -log coverage.log -dbname merged_simv -report merged_coverage
urg -lca -dir simv1.vdb simv2.vdb -map dut_name -format text -log coverage.log -dbname merged_simv -report merged_coverage

其他

在synopsys_sim.setup文件中设置 lib mapping的方法:

lib_name : lib_path

如: lp_mem_lib : /xxx/xxx/lp_mem_lib/vcsmx_2016/rtl/vhdl/VCSobj

在run simv时可执行tcl命令文件

./simv -ucli -i tclfile.do

ticfile.do为自定义的tcl命令,如 dump -add {tb.dut} -depth 0

转载请出处。http://blog.csdn.net/wonder_coole/article/details/79618696

VCS 编译仿真方法总结相关推荐

  1. VCS编译仿真警告Warning

    VCS编译仿真警告Warning 问题描述 在较大的SOC集成中,通常使用Perl脚本例化子模块到Top层,然而,有时会出现例化出来的输入端口名没有在Top层定义,而且端口的位宽为1bit,那么,ve ...

  2. Verdi/Coverage tool 学习 第3节(常用编译仿真选项篇)

    目录 VCS产生code coverage 与 function coverage 归类总结 一.code coverage 二.function coverage 三.coverage其他选项 3. ...

  3. RedHat7安装Systemc 编译仿真

    本来在win7下 使用vc6++已经实现了对systemc的编译,但是不能仿真,于是就想在Linux中搭建systemc环境,然后就给自己挖了一个大坑,,,,,,真是一把辛酸泪啊! 小白一个,上来就安 ...

  4. keil调试串口的软件仿真方法

    Keil(MDK-ARM)使用教程(三)_在线调试 keil调试串口的软件仿真方法 由于我是直接使用(打开现有的软件工程),如果跟着需要下载上面演示参考的软件工程才行.工程默认是使用硬件在线调试,接下 ...

  5. VCS常用仿真选项开关及步骤总结

    转自:https://blog.csdn.net/bcs_01/article/details/79803304 转自:https://blog.csdn.net/wonder_coole/artic ...

  6. 如何用VCS+Verdi仿真Xilinx IP

    文章目录 如何用VCS+Verdi仿真Xilinx IP 1. VCS以及Vivado的版本问题 2. 使用VCS编译Vivado的IP库 3. 使用Vivado工具调用VCS进行仿真 1.新建带有I ...

  7. 【Groovy】编译时元编程 ( 编译时方法注入 | 使用 buildFromSpec、buildFromString、buildFromCode 进行方法注入 )

    文章目录 一.在 MyASTTransformation#visit 方法中进行方法注入 1.使用 new AstBuilder().buildFromSpec 进行方法注入 2.使用 new Ast ...

  8. 【Groovy】编译时元编程 ( 编译时方法拦截 | 在 MyASTTransformation#visit 方法中进行方法拦截 )

    文章目录 一.在 MyASTTransformation#visit 方法中进行方法拦截 二.完整代码示例及进行编译时处理的编译过程 1.Groovy 脚本 Groovy.groovy 2.ASTTr ...

  9. android 单个模块编译的方法

    单个模块编译的方法 $.build/envsetup.sh  mmm packages/apps/Contacts/ 示例编译联系人  这时生成  out/target/product/generic ...

最新文章

  1. 巧用Excel记录单快速输入数据
  2. Linux下实现文件双向同步
  3. 一起学asp.net基础文章二 服务器控件、客户端控件和html表单控件
  4. 数学推导题,NTT,快速数论变换,Wannafly-导数卷积
  5. MySql 错误 Err [Imp] 1153 - Got a packet bigger than 'max_allowed_packet' bytes
  6. 【C语言进阶深度学习记录】十八 条件编译的使用与分析
  7. Cracking the Coding Interview(Stacks and Queues)
  8. Debug解决问题方法论
  9. 优达学城深度学习之三(上)——卷积神经网络
  10. IOCP扩展方法AcceptEx, DisconnectEx, GetAcceptExSockaddr用法示例
  11. Shell十三问总结
  12. Mendeley+LaTex: 如何在Latex中优雅的插入引用文献
  13. jwt (JSON Web Token)官方说明
  14. OSPF特殊区域的作用
  15. 变种WannaCry
  16. ActiveMQ 反序列化漏洞 (CVE-2015-5254)复现
  17. 系统内核溢出漏洞提权之Windows Exploit Suggester
  18. NVDIMM 知识点
  19. 微信小程序的websocket使用stomp协议--简单实用的npm包
  20. 用 22 张照片打开 23 年

热门文章

  1. 电商运营:2020天猫618超级晚营销怎么玩?最新营销方案献上
  2. 文件密使 v3.7 绿色
  3. Java初学01:学习路线
  4. Java企业信息化系统,开源OA openSource OA Platform 本地搭建运行。
  5. 微信域名检测php,微信域名检测API接口PHP代码
  6. 专科生必须要考计算机等级证吗
  7. 如何采集工业设备数据?工业数据采集的方法有哪些?
  8. 云杰恒指:6.11恒指期货早盘思路
  9. win8电脑打不开网页的修复方法--win10专业版
  10. WZOI-202去除圆括号