最近常用Vivado自带的仿真工具,以至于Modelsim SE的使用变得非常陌生,这里针对Modelsim SE自动化仿真使用过程进行一个学习总结,温故而知新。

常用的Altera和Xilinx两家FPGA,针对两个厂家的FPGA进行Modelsim仿真时需要用到它们对应的仿真器件库,这里简单对两家FPGA的库编译和使用进行记录总结:

1、器件库编译

(1)Altera

在Quartus软件中,找到Tools —> Launch Simulation Library Compiler

以A10和S10器件为例,在1中选中Modelsim的win64路径;在2中选入A10和S10器件;在3中选择库的语言,默认Verilog和VHDL全选;在4中选择编译库所在路径,这里直接将编译库存入Modelsim的路径中;在5中点击Start Compilation。

器件库编译成功提示:

(2)Xilinx

2、器件库使用

找到modelsim安装路径下的modelsim.ini文件,取消modelsim.ini的只读属性:

(1)Altera

打开第一章中编译的Altera器件库路径

将器件库的路径填入modelsim.ini文件中:

(2)Xilinx

打开第一章中编译的Xilinx器件库路径,找到该路径下自动生成的modelsim.ini文件

可以看到Xilinx自动给我们在它的路径下自动生成了一个modelsim.ini,该文件中已经加载了Xilinx的器件库,我们只需将它里面的器件库路径复制出来,拷贝到Modelsim软件安装路径中的modelsim.ini中即可:

​​​

保存Modelsim软件安装路径下的modelsim.ini即可。

附Modelsim保存和使用波形的方法:

1、在wave界面,将仿真波形保存为 .do文件(信号文件)。

2、切换左边任务栏至“sim”,点击保存,给将要保存的 .wlf文件(波形文件)命名。

3、保存,OK,关闭modelsim.

4、重启modelsim,file —>  open 之前保存的 .wlf文件,然后file —>  load —>  Macro Files对应的 .do文件。

Modelsim SE仿真之器件库的编译与使用相关推荐

  1. 国产智多晶FPGA使用Modelsim仿真时器件库的编译方法

    大家好,我是小梅哥,这里给大家介绍国产FPGA厂家"西安智多晶"微电子的FPGA使用Modelsim软件编译仿真库,以使用modelsim仿真其RTL设计的方法.本博客将陆续发表更 ...

  2. Modelsim se仿真Xilinx IPcore

    Modelsim se仿真Xilinx IPcore 方法:先写好do文件常规框架,根据modelsim报错再添加ise IP核库仿真文件.注:记得添加并仿真glbl.v全局控制仿真文件到sim/is ...

  3. 搭建Modelsim SE仿真环境-使用do文件仿真

    本章我们介绍仿真环境搭建是基于Modelsim SE的.Modelsim有很多版本,比如说Modelsim-Altera,但是笔者还是建议大家使用Modelsim-SE,Modelsim-Altera ...

  4. Quartus调用Modelsim SE避免重复编译Altera器件库的方法

    最近用Quartus 15.0配合Modelsim SE 10.4的64位版本,简直就是闪电一般的仿真速度.但是众所周知,SE版本最大的问题就是每次由Quartus自动调用时,都要重新编译所使用的器件 ...

  5. modelsim编译Xilinx器件库

    modelsim编译Xilinx器件库的另一种方法(节省时间) 以前在用modelsim对Xilinx进行器件库编译时,我用的比较多的是直接在ISE中编译器件库,感觉很方便简单,就是编译时间有点长.自 ...

  6. 用Modelsim SE 直接仿真 Altera(Intel PSG) IP核 需要注意的问题

    如果我们直接用Modelsim SE仿真 Altera IP核,首先会进入Quartus II目录下找到IP核对应的仿真库源文件,然后在Modelsim SE中进行编译,添加到Modelsim SE的 ...

  7. ModelSim SE 10.0a建立并调用Xilinx ISE 13.1仿真库详解

    从网上搜到的有很多,实践过其中几种,其中一种较简单的方法如下: 1)安装ModelSim 和ISE 并注册破解: 2)将ModelSim根目录下的modelsim.ini文件的只读属性去掉. 3)将c ...

  8. pango软件与modelsim联合仿真库编译失败问题

    pango库编译路径与modelsim仿真路径一致,无法正常进行库编译操作.路径设置情况如图1所示: 图1 库编译路径与仿真路径在同一根目录 软件在compiling过程中报"vlog.ex ...

  9. 基于Quartus II+ModelSim SE的后仿真(Verilog版)

    基于Quartus II+ModelSim SE的后仿真(Verilog版) 一.Quartus 中的相关设置 在Quartus中建立名为counter的工程,设置仿真工具为ModelSim(Veri ...

最新文章

  1. 使用SHA1、SHA2双证书进行微软数字签名
  2. BAT无线工程师面试流程详细解析
  3. url如何定位到Servlet项目
  4. 一个配件、一块面料,制造企业流水线因为AI变了新模样(人工智能应用案例)
  5. C# 学习之路--百度网盘爬虫设计与实现(一)
  6. 搜索引擎分词:Nutch整合Paoding中文分词步骤详解
  7. 【MyBatis笔记】01-MyBatis入门程序
  8. 商业计划书范文3000_生鲜电商商业计划书范文|优鲜选
  9. SQL Server 时间、日期函数
  10. Android打地鼠游戏案例
  11. 文献检索与论文写作——学习笔记
  12. fanyibishe
  13. DataGridView控件数据导出表格
  14. 数据库可视化工具 dbForge Studio for MySQL 快速入门以及进阶指导
  15. 黑马程序员--JavaJAVA 正则表达式 (超详细)
  16. 海康威视java读取摄像头数据
  17. 四台主机模拟实现静态路由
  18. 小心inneHTML的地雷
  19. 人工智能之眼:运用科技消除可预防失明
  20. 新手小白入门latex排版

热门文章

  1. vue中绑定style样式的方式
  2. hbase java api 查询_java api 查询hbase
  3. 对称加密和非对称加密总结
  4. html设置长宽高代码_Html_页面的高度宽度等
  5. 中国中药专利数据库检索系统(CTCMPD)
  6. 编程练习:非质数分解成质数相乘
  7. python实现sql宽字节注入+布尔盲注
  8. 【分享】获取各种互联网数据资讯报告-各种数据报告和白皮书,方便论文查询数据和市场调研
  9. 龙芯平台nand使用方式
  10. STM32寄存器地址名称映射(学习笔记之四)