每个 Slice 有 8 个 FF 。四个可以配置为 D 型触发器或电平敏感锁存器,另外四个只能配置为 D 型触发器,但是需要记得是:当原来的四个 FF 配置为锁存器时,不能使用这四个 FF 。

(1)FDCE

简介

带有时钟使能和异步清零的 D 触发器

当时钟使能(CE)为高并且异步清零信号 CLR 为低时,该 D 触发器将数据输入 D 端传递到输出 Q 端。

当CLR为高,不管输入是什么,输出 Q 端值为 0。

原语

FDCE #(.INIT(1'b0) // Initial value of register (1'b0 or 1'b1)) FDCE_inst (.Q(Q),      // 1-bit Data output.C(C),      // 1-bit Clock input.CE(CE),    // 1-bit Clock enable input.CLR(CLR),  // 1-bit Asynchronous clear input.D(D)       // 1-bit Data input);

真值表

(2)FDPE

简介

带有时钟使能和异步置位的 D 触发器

当时钟使能(CE)为高并且异步置位信号 PRE 为低时,该 D 触发器将数据输入 D 端传递到输出 Q 端。当 PRE 为高,不管输入是什么,输出 Q 端值为 1。

原语

FDPE #(  .INIT(1'b0) // Initial value of register (1'b0 or 1'b1)) FDPE_inst (  .Q(Q),      // 1-bit Data output  .C(C),      // 1-bit Clock input  .CE(CE),    // 1-bit Clock enable input  .PRE(PRE),  // 1-bit Asynchronous preset input  .D(D)       // 1-bit Data input);

真值表

(3)FDRE

简介

带有时钟使能和同步清零的 D 触发器

当时钟使能(CE)为高并且同步清零信号 R 为低时,该 D 触发器在时钟上升沿将数据输入 D 端传递到输出 Q 端。

当 R 为高,不管输入是什么,输出 Q 端值为 0。

原语

FDRE #(  .INIT(1'b0) // Initial value of register (1'b0 or 1'b1)) FDRE_inst (  .Q(Q),      // 1-bit Data output  .C(C),      // 1-bit Clock input  .CE(CE),    // 1-bit Clock enable input  .R(R),      // 1-bit Synchronous reset input  .D(D)       // 1-bit Data input);

真值表

(4)FDSE

简介

带有时钟使能和同步置位的 D 触发器

当时钟使能(CE)为高并且同步置位信号 S 为低时,该 D 触发器在时钟上升沿将数据输入 D 端传递到输出 Q 端。

当 S 为高,不管输入是什么,输出 Q 端值为 1。

原语

FDSE #(  .INIT(1'b0) // Initial value of register (1'b0 or 1'b1)) FDSE_inst (  .Q(Q),      // 1-bit Data output  .C(C),      // 1-bit Clock input  .CE(CE),    // 1-bit Clock enable input  .S(S),      // 1-bit Synchronous set input  .D(D)       // 1-bit Data input);

真值表

  

带有时钟使能和同步清零的D触发器相关推荐

  1. VHDL设计一个同步清零的JK触发器

    1.设计一个同步清零的JK触发器,其引脚名称和逻辑功能如下表所示. LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY jk IS PORT (clk, ...

  2. HDLBITS笔记23:触发器的基础练习(同步清零、异步清零、复位端、字节使能等)

    题目1: D触发器是一种电路,它存储位并定期更新,位于时钟信号的(通常)正边. D 触发器在使用时钟始终块时由逻辑合成器创建(请参见始终阻止2).D触发器是最简单的"组合逻辑后跟触发器的斑点 ...

  3. 【verilog_8】: 设计60进制计数器,带异步复位、同步使能、同步装载、同步清零、同步置位

    1.设计60进制计数器,带异步复位.同步使能.同步装载.同步清零.同步置位 法一 author : Mr.Mao e-mail : 2458682080@qq.commodule cnt60x (in ...

  4. 设计60进制计数器,带异步复位、同步使能、同步装载、同步清零、同步置位

    设计60进制计数器,带异步复位.同步使能.同步装载.同步清零.同步置位 Design a modulus 60 counter, with asynchronous reset, synchronou ...

  5. 【verilog_9】: 设计16位二进制计数器,带异步复位、同步使能、同步装载、同步清零、同步置位

    2.设计16位二进制计数器,带异步复位.同步使能.同步装载.同步清零.同步置位 法一 author : Mr.Mao e-mail : 2458682080@qq.commodule CNT_16B2 ...

  6. 怎么样理解同步清零和异步清零?

    DA专业论坛 »  通用设计 » [求助] 大家是怎么样理解 同步清零和 异步清零的?? 查看完整版本: [求助] 大家是怎么样理解同步清零和异步清零的?? mxflying 2005-4-20 03 ...

  7. ModuleAim Verilog同步置数、同步清零的计数器实验

    ModuleSim Verilog同步置数.同步清零的计数器实验 #全文复制可运行,经验证无错 你好! 这是你第一次使用 ModuleAim同步置数.同步清零的计数器实验 如果这是你第一次项目,推荐一 ...

  8. 74ls163是同步清零吗_74LS163是具有同步清零功能的十六进制计数器,要

    74LS163是具有同步清零功能的十六进制计数器,要想构成12进制计数器,采用清零的方法,应该在状态清零? 答:1100 Microsoft has emphasized time and time ...

  9. VHDL设计一个同步置数、异步清零的D触发器

    设计一个同步置数.异步清零的D触发器,其引脚名称和逻辑功能如下表所示. LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY ddddd IS PORT ...

最新文章

  1. JavaScript-包装对象
  2. linux软件升级直接替换,Linux几个命令的升级替代品
  3. 3D数学基础:图形与游戏开发---随笔五
  4. pat 甲级1013
  5. gsonformat安装怎么使用_IDEA中使用GsonFormat
  6. Java学习小程序(10)三个等级的才字母游戏
  7. linux lzo 压缩文件,Linux常用压缩和解压命令
  8. python堆栈与队列_python语言的堆栈与队列类的实现
  9. 彻底禁用chrome请停用以开发者模式运行的扩展程序弹框
  10. 分析 120 年的 Kaggle 数据,中国何时站上奥运之巅?
  11. error: expected expression before ‘struct
  12. 【渝粤教育】21秋期末考试网络金融10248k2
  13. AjaxPro.NET实现TextBox智能获取服务端数据功能(Asp.net 2.0)(示例代码下载)
  14. Win10系统定时开关机
  15. 神经网络与机器学习导言笔记——网络结构与知识表示
  16. 【Leetcode】1324. Print Words Vertically
  17. 数据库防火墙:数据库防火墙的阻断方式
  18. 这份公众号运营攻略,可以帮你系统地运营好公众号
  19. .gitignore
  20. 远程访问MySql数据库

热门文章

  1. 奥鹏计算机英语统考报名,2017年4月大学英语b计算机应用基础统考奥鹏电大最新原.doc...
  2. 新奇怪知识:用ps导出gif图片放在网页上可实现只循环一次并且定格不变,本地一直循环
  3. MySQL数据库主从的安装搭建方法
  4. 简单音乐铃声(接口版)
  5. imemode属性,关系textbox的取值
  6. windows配置电脑网络ip地址的方法
  7. 如何彻底删除微信聊天记录?小姐姐教你如何禁止“数据泄露”!
  8. 如何把antlr4融合到编译器项目中使用
  9. 凸优化笔记10(罚函数法-内点罚函数、外点罚函数)
  10. QQ强奸用户,向QQ说不