简介:
用Verilog编写行为模块模拟交通灯的控制时序。【注】该代码不可综合成电路网表。
代码实现:

/*----------------------------------------------
Filename: trafic_lights.v
Function: 模拟交通灯的控制时序;[本程序不可综合]
Author: Zhang Kaizhou
Date: 2019-8-6 22:20:08
-----------------------------------------------*/
`timescale 1ns/1ns
`define clock_period 100
module trafic_lights(red, amber, green);//端口定义output red, amber, green;reg clock, red, amber, green;parameter on = 1, off = 0, red_tics = 350, amber_tics = 30, green_tics = 200;//初始化initialbeginclock = 0; red = off; amber = off; green = off;end//控制时序alwaysbeginred = on;light(red, red_tics);amber = on;light(amber, amber_tics);green = on;light(green, green_tics);end//灯亮持续时间的任务task light;//端口定义output color;input [31 : 0] tics;//控制时序beginrepeat(tics) @(posedge clock);color = off;endendtask//产生时钟信号always  #`clock_period clock = ~clock;
endmodule
/*----------------------------------------------
Filename: trafic_lights_tb.v
Function: 测试程序
Author: Zhang Kaizhou
Date: 2019-8-6 22:21:02
-----------------------------------------------*/
`timescale 1ns/1ns
module trafic_lights_tb;wire red, amber, green;trafic_lights m0(.red(red), .amber(amber), .green(green));
endmodule

仿真结果:

以上仿真波形与代码所写控制时序逻辑一致,说明交通灯时序控制模块功能正常。

Verilog交通灯控制模块相关推荐

  1. verilog实现十字路口交通灯(含课设报告)

    设计一个十字路口的交通灯控制电路,要求甲车道和乙车道两条交叉道路上的车辆交替运行,每次的通行时间都设为25秒.要求黄灯先亮5秒,才能变换运行车道并且黄灯亮时另一干道的红灯按1Hz的频率闪烁.要求通行时 ...

  2. Verilog实现交通灯(数电课设)-----新--及对于状态机的理解

    目录 此文主要用于状态机的理解,及代码书写的对比(同之前写的交通灯). 状态机 状态机注意要点: 依据久思路重写交通灯 控制模块 仿真截图 显示模块 解决上次遗留问题(新代码的控制) 此文主要用于状态 ...

  3. FPGA智能交通灯控制器系统系统设计

    1系统总体设计 把由5OM的有源晶振产生的现场可编程逻辑器件FPGA 的系统时钟输入到分频模块,经分频模块分频产生频率为1Hz的时钟脉冲,作为控制定时模块.控制模块.紧急模块.计数模块的时钟信号,然后 ...

  4. 基于fpga的交通灯的设计

    课题一:交通灯控制设计 1.课程设计的目的与要求 1.1目的 以FPGA为核心,设计一个交通灯控制器,每个路口都有红.黄.绿三盏灯.该交通信号灯控制器由一条车道A和一条车道B汇合成十字路口,在每个入口 ...

  5. Verilog实现交通灯(数电课设)----------旧

    这里是新写的交通灯Verilog实现交通灯(数电课设)----------新:https://blog.csdn.net/qq_41467882/article/details/86626507 里边 ...

  6. 实现verilog设计控制交通灯

    本设计在ISB14.7环境下,也可以在vivado或者quartusII中使用. 工程截图和顶层设计如下所示: 本设计顶层文件部分代码如下: `timescale 1ns / 1ps //交通灯控制器 ...

  7. 基于Verilog用状态机设计交通灯控制器

    1.题目:用状态机设计交通灯控制器,设计要求:A路和B路都有红.黄.绿三种灯,持续时间为红灯45s.黄灯5s.绿灯40s.A路和B路交通灯的状态转移为 (1)A红,B绿(持续时间40s): (2)A红 ...

  8. 基于Verilog的十字路口交通灯控制电路设计

    基于Verilog的十字路口交通灯控制电路设计 一.设计要求 二.设计方案 三.电路原理图 四.代码实现 1. Verilog代码: 2. Testbench代码 五.仿真结果 一.设计要求 设计并实 ...

  9. verilog数字电路实验 交通灯

    数字电路设计@heyian910 verilog数字电路实验 交通灯 源码 module main(input clk,input clr,input start,input stopa,input ...

最新文章

  1. [示例]创建Student类,输入学生信息并存入字典,将3个存有学生信息的字典存入数组,并计算...
  2. php pdf 文字水印图片,php pdf添加水印(中文水印,图片水印)
  3. 商淘多b2b2c商城系统怎么在个人电脑上安装_b2b2c商城系统免费模板怎么用?
  4. xcode 7.2 怎么在Playground 中加载图片
  5. js的栈堆与浅拷贝、深拷贝的理解
  6. mysql添加timestamp有什么用_mysql中timestamp的使用
  7. CentOS 7.3镜像挂载搭建本地yum源
  8. kubelet配置cni插件_Kubernetes CNI网络插件
  9. 《AutoCAD 2016中文版从入门到精通》——1.5 基本输入操作
  10. Android开发笔记(四十四)动态UI事件
  11. cad插件_CAD插件常青藤3.0
  12. 2020年副业收入!这是我看到的最好的一篇介绍网络兼职的文章
  13. 阿里巴巴 29 个屌炸天的开源项目!
  14. 牛客练习赛53 (E 老瞎眼 pk 小鲜肉) 线段树+离线
  15. 网站蜘蛛日志分析解读,SEO站长自查诊断
  16. mysql 与sqlserver对比?哪个更好用?
  17. Opencv教程-图像二值化
  18. 无线通信基础——“单跳”与“多跳”
  19. 钟汉良日记:你知道吗?写日记可以影响三代人!
  20. 删除右键打开IntelliJ IDEA

热门文章

  1. android bitmap 饱和度 demo,实现类似QQ离线用户头像彩色变灰色的成效
  2. 跟黄申老师学数学(python实现)-01迭代法
  3. CodeForces 631C-Report(单调栈)
  4. 全网最全的文本关键词抽取包括有监督和无监督方法
  5. 六十星系之30太阳独坐巳亥
  6. 阿里云盘如何上传压缩类型文件
  7. 《推荐系统实战》读书笔记
  8. 图片分辨率如何修改?改图片分辨率的方法
  9. CAM平台数控编程软件功能实例探究
  10. 自动交易程序介绍——普通个人和团队如何快速上手量化