格雷码转二进制码
二进制码转格雷码
代码如下


//gray to bin module gray2bin #(parameter ADDR_WIDTH=8)(input [ADDR_WIDTH-1:0] grayin,output [ADDR_WIDTH-1:0] binout,output reg [ADDR_WIDTH-1:0] binout1,output [ADDR_WIDTH-1:0] grayout);//调用gray2bin实现方式2的函数
assign binout=gray2bin(grayin);gray2bin 实现方式1:always组合逻辑块
integer j;
always@(*)for(j=0;j<ADDR_WIDTH;j=j+1)binout1[j]= ^(grayin>>j);//gray2bin  实现方式2:function组合逻辑块
function  [ADDR_WIDTH-1:0] gray2bin ( input [ADDR_WIDTH-1:0] gray);
reg [31:0] i;
for(i=0; i<ADDR_WIDTH;i=i+1)gray2bin[i]=^(gray>> i);
endfunction//二进制转格雷码
assign grayout=binout1^(binout1>>1);endmodule

tb(sv)如下

parameter ADDR_WIDTH=4;
class datarand;rand bit [ADDR_WIDTH-1:0] data;
endclassmodule tb_gray2bin(  );
logic [ADDR_WIDTH-1:0] grayin,grayout, binout, binout1;gray2bin #(.ADDR_WIDTH(ADDR_WIDTH)) gray2bin(.grayin(grayin),.binout(binout),.binout1(binout1),.grayout(grayout));
datarand dr;
integer k;
initialbegindr=new();for(k=0;k<30;k=k+1)begin#10assert(dr.randomize());grayin=dr.data;endendendmodule

格雷码与二进制码转换相关推荐

  1. 数字电路基础知识——格雷码和二进制码的转换的算法和Verilog实现

    数字电路基础知识--格雷码和二进制码的转换的算法和Verilog实现 关于数字电路中的码制问题在这篇博客中已经做了详细分析, 数字电路基础知识--数字IC中的进制问题(原码,反码,补码以及各进制的转换 ...

  2. 【数字电路基础】格雷码、二进制码与格雷码的转换、独热码

    文章目录 一. 格雷码 二.二进制码与格雷码的转换 2.1.二进制码转格雷码(编码) 2.2.格雷码转二进制码(解码) 三.代码实现 3.1.`b2g.v`(**二进制码转格雷码**) 3.2.`g2 ...

  3. 二进制与格雷码之间的转换的Verilog实现(更多一点的讨论)

    目录 前言 二进制码转换为格雷码的方法 格雷码转换为二进制码的过程 更多一点讨论之generate for 更多一点讨论之for 最后对格雷码的介绍 前言 以前的博客也有写这方面的内容,只是没有显式的 ...

  4. 格雷码与二进制码的相互转换 (python代码实现)

    ⭐ What? 格雷码 在一组数的编码中,若任意两个相邻的代码只有一位二进制数不同,则称这种编码为格雷码(Gray Code),另外由于最大数与最小数之间也仅一位数不同,即"首尾相连&quo ...

  5. [Verilog]格雷码与二进制码的相互转换

    格雷码与二进制码的相互转换 摘要:在一组数的编码中,若任意两个相邻的代码只有一位二进制数不同,则称这种编码为格雷码(Gray Code),另外由于最大数与最小数之间也仅一位数不同,即"首尾相 ...

  6. 格雷码、二进制码、BCD编码

    格雷码 格雷码(12页).pdf 格雷码(12页).pdf-其它文档类资源-CSDN下载 奇偶校验码(25页).pdf 奇偶校验码(25页).pdf-其它文档类资源-CSDN下载 经验:如何快速地写出 ...

  7. 状态机与独热码、格雷码、二进制码

    状态机 简称FSM,也叫同步有限状态机 同步:同步的意思就是在系统时钟的作用下 有限:有限代表状态机中的状态是有限的 根据影响输出分为两大类,一为Moore.二为Mealy Moore:若最后的输出只 ...

  8. 格雷码与普通二进制码的相互转换——学习笔记

    文章目录 格雷码 普通二进制码转换成格雷码 格雷码转换成普通二进制码 进一步 Reference 文章中内容与图片大部分来自Reference,本文只是以方便自己理解的方式进行整理. 格雷码 在一组数 ...

  9. 自然二进制码与格雷码相互转换【CSDN】

    自然二进制码与格雷码相互转换 一.格雷码简介 格雷码是由弗兰克·格雷于1953年发明,其主要特点是相邻编码值中间只有一个比特发生改变,又被称为循环码. 格雷码是一种无权码,采用绝对编码方式,典型格雷码 ...

最新文章

  1. alexa http 请求
  2. Spring AOP 实现原理与 CGLIB 应用--转
  3. vs2013调试linux程序,vs 2013调试的时候重启的解决方案
  4. 要的需求 ip提取网站源码带采集 要求是PHP源码
  5. 企业发卡修复版源码(短链二维码)
  6. Docker学习四:Docker 网络
  7. OpenCV读取RTSP视频流
  8. JQuery语法,选择器和事件
  9. Bash shell 连接字符串
  10. Web安全—information_schema数据库详解基础补充
  11. cubieboard 资源
  12. Codeforces1153D-Serval and Rooted Tree(树形dp)
  13. 运用python爬取彼岸桌面所有关键字高清壁纸
  14. vb ADO控件连接ACCESS数据库做登录密码的例子
  15. 看了这个你的下载速度还会慢???
  16. java实现孪生素数
  17. JavaWeb和WebGIS学习笔记(三)——GeoServer 发布shp数据地图
  18. python根据经纬度确定省份_根据经纬度定位用户所在城市
  19. Apple的营销策略——饥饿营销
  20. 转: php5.3.5 模块安装 (XAMPP)

热门文章

  1. dns服务器易语言,易语言dns中继服务器源码
  2. labview调用halcon匹配详解
  3. 书店存货c语言程序,C语言课程设计品库存管理系统.doc
  4. Java 中的内存映射Mmap
  5. [附源码]SSM计算机毕业设计基于的楼盘销售管理系统论文2022JAVA
  6. 北航操作系统课程-20200402课堂小测-调度算法
  7. Markdown语法016:最常用的LaTeX语法
  8. wincc里vbs脚本
  9. SNMP---MIB/OID
  10. PCL: 获取点云的质心(几何中心点)