踏足IT的第一个博客
今天开通CSDN博客,看似是踏足IT界的第一步,但并不是第一步。
某天在CSDN上看了某篇不知名的文章,即使计算机专业学渣毕业的我还是回归自己的本专业相关行业,毕竟,除了在学校学到的东西,我就没剩下什么了,对社会除了搬砖也就不会再有什么机会做贡献了。废话不多说,直接上代码。

void squeeze(char s1[] , char s2[]){int i , j , k;for(k = 0 ; s2[k] != '\0' ; k ++){for(i = j = 0 ; s1[i] != '\0' ; i ++){if(s1[i] != s2[k]){s1[j ++] = s1[i];}}s1[j] = '\0';}}

这段代码是C程序设计语言的第二章习题2-4的答案,自己写的,当然是根据原文中的删除某个字符的程序段改的,将删除某个字符的程序段加入到字符数组的循环中,目前还未测试该程序是否正确,接下来放的是标准答案:

void squeeze(char s1[] , char s2[]){int i , j , k;for(i = k = 0 ; s1[i] != '\0' ; i ++){for(j = 0 ; s2[j] != '\0' && s2[j] != s1[i] ; j ++){;}if(s2[j] == '\0'){//s2中没有与该字符相同的字符s1[k ++] = s1[i];}      }s1[k] = '\0';
}

标准答案和我的答案遍历思路是反的,我的是将s2中的某个字符都与s1中的每一个字符都比对,删除s1中的该字符后,然后再循环到s2中的下一个字符,再次与s1当前剩下的所有字符进行比对,再删除,如此循环。
标准答案的思路则是将s1中的某个字符与s2中的字符循环比对,如果相同就删除,如果不同,就换s1中的下一个字符与s2中的字符比对。
经过实测,我的答案没问题。
今天是见证学习C语言的第一天,我相信以后也不会有学习IT知识的最后一天。
有兴趣学习C程序语言的同学或者C大佬,欢迎留言讨论。
今后我会放出我之前做的第一章的部分答案,由于某些题比较简单,所以就没存,第一章最后几个题能力有限,也没做出来,反正不会的就看懂,然后背下来吧,最后再彻底搞懂,因为要背的不是答案,而是某些特定问题的编程技巧,以后的某天说不定就能用上。

踏足IT的第一个博客相关推荐

  1. 蒟蒻的第一篇博客CF1041C Coffee Break(二分+贪心+set)

    CF1041C Coffee Break(二分+贪心+set) 描述 Recently Monocarp got a job. His working day lasts exactly mm min ...

  2. 我人生的第一个博客,真正的博客。

    今天,我登入了博客园.发现我的博客建立申请通过了.很是开心. 这是我第一个博客. 建立这个博客的目的有三个: 1.能够帮我记录下我的所学: 2.让我可以更好地学到一些知识: 3.令我可以结交到一些志同 ...

  3. 这是我的第一篇博客!

    这是我的第一篇博客~ 这个博客账号将会由一个技术菜鸡来总结一些我学习的东西,也许会总结的乱七八糟,也许会错误频频,甚至看不懂在写个什么(emmm有点过分)...但是无所谓啦,目的只是希望以后能向各路大 ...

  4. 点滴篇(一) 第一篇 博客

    我的第一篇博客~~~ 这个博客就为技术贴吧,以后我会分享自己在学习中的点点滴滴

  5. 第一篇博客,用以规划我的编程人生

    本人编程小白.第一次写技术博客,希望制定一个学习计划,让自己慢慢成长为一名优秀的程序猿. 学习方向是成为一名全栈工程师. 前端方面:会用bootstrap设计网页,会用jQuery完成动作. 后端方面 ...

  6. Python中的TCP的客户端UDP学习----第一篇博客

    Python中的TCP的客户端&UDP学习--第一篇博客 PS: 每日的怼人句子"我真想把我的脑子放到你的身体里,让你感受一下智慧的光芒" 先说UDP流程 发送: 创建套接 ...

  7. “Hello, my first blog”------第一篇博客的仪式感

    本人在校大学生一枚,开通博客,主要是想记录自己的学习过程,分享自己的学习经历.记得大一的时候,很多不懂的操作和知识,都是在博客上找到了相应的解决办法.但比较讽刺的是,很多时候,曾经解决了的问题,当再次 ...

  8. 第一篇博客《import tensorflow的问题解决》

    第一篇博客<import tensorflow的问题解决> 参考文章: (1)第一篇博客<import tensorflow的问题解决> (2)https://www.cnbl ...

  9. 第一篇博客——自我介绍篇

    首先介绍下自己.我来自山东理工大学,专业为矿业工程,是一名非科班专业应届毕业生. 这是我的第一篇博客.之前从来没接触过博客,一直都是在笔记本记录,沾边一点的也就是发发朋友圈.今天是我写博客的第一天,从 ...

最新文章

  1. 云炬随笔20211012(1)
  2. java学习--基础知识第六天--笔记
  3. Flink EventTime和Watermarks原理结合代码分析(转载+解决+精简记录)
  4. Debug ASP.NET Core 2.0源代码
  5. ASIHTTPRequest 常用方法讲解
  6. 产品经理必懂技术术语(后台类)
  7. D - 区间覆盖问题
  8. 如何移动visio2010连接线上的文本
  9. 面对互联网寒冬,程序员能够做什么?
  10. 小白简单式安装VM虚拟机
  11. 精密型工业级UHF超高频RFID读写器|读卡器JT7300的MODBUS协议说明
  12. Testng执行顺序控制
  13. linux硬件测试拷机软件,“拷机”中。
  14. 在openEuler 21.9安装自带的容器软件Docker、podman、skopeo
  15. POJ3349-Snowflake Snow Snowflakes
  16. JAVA基础Day01
  17. 基于Java的网络编程实践
  18. Zynq-Linux移植学习笔记
  19. 三维重建 影像匹配 密集匹配三者的关系
  20. 雨听 | 解决连接蓝牙后谷歌浏览器无声音(其他应用有声音)问题

热门文章

  1. 定向越野(添加任务信息和根据坐标位置触发游戏)
  2. 3.Windows应急响应:蠕虫病毒
  3. 国密数字证书离线申请流程-国密数字信封解析
  4. Java程序员薪资分几级?
  5. 苹果手机耗电快_苹果手机耗电太快?关闭这几大功能,从此告别一天三充
  6. 荣耀手机删除百度输入法华为版
  7. 如何提升无线网络性能
  8. 转:那些一眼看透本质的人,逻辑都是这样练就的!
  9. 关于烟草的知识(我不吸烟)
  10. 在线玩家人数上限实时监测(Photon)