Modelsim se仿真Xilinx IPcore

方法:先写好do文件常规框架,根据modelsim报错再添加ise IP核库仿真文件。注:记得添加并仿真glbl.v全局控制仿真文件到sim/ise_lib下

步骤:

  1. 查看modelsim提示的错误信息:Error:./../ise_prj/ipcore_dir/sync_fifo_8x256.v(493):Module’FIFO_GENERATOR_V9_3’is not defined
  2. 找到ise安装路径:在快捷键上右键-属性-打开文件位置

*./14.7/ISE_DS/ISE/verilog/src  在这个路径下搜索FIFO_GENERATOR_V9_3文件,选中FIFO_GENERATOR_V9_3.v文件复制到sim仿真目录下建ise_lib文件夹下。

3.    每个Xilinx仿真都加到ise_lib下,并且在do文件内也要编译vsim -voptargs=+acc work.tb_ex_ise_fifo work.glbl

仿真Xilinx FIFO do文件示例:

quit -sim

.main clear

vlib work

vlog ./tb_ex_ise_fifo.v

vlog ./../design/ex_ise_fifo.v

vlog ./../ise_prj/ipcore_dir/*.v

vlog ./ise_lib/*.v

vsim -voptargs=+acc work.tb_ex_ise_fifo work.glbl

add wave tb_ex_ise_fifo/ex_ise_fifo_inst/*

run 10us

仿真Altera IPcore 文件示例:

quit -sim

.main clear

vlib work

vlog ./tb_ex_dds.v

vlog ./altera_lib/altera_mf.v

vlog ./altera_lib/220model.v

vlog ./../design/*.v

vlog ./../quartus_prj/ipcore_dir/sp_ram_256x8.v

vlog ./../quartus_prj/ipcore_dir/mult_8x8_l0.v

vsim -voptargs=+acc work.tb_ex_dds

add wave tb_ex_dds/ex_dds_inst/*

run 10us

转载于:https://www.cnblogs.com/chengqi521/p/6112804.html

Modelsim se仿真Xilinx IPcore相关推荐

  1. 【以前】ModelSim中仿真Xilinx IP核

    先对题目进行说明:ModelSim本身是一个独立的仿真环境,不需要依赖其他的软件.这里所说的" ModelSim仿真XilinxIP核"是指单独运行ModelSim 进行仿真,而不 ...

  2. 搭建Modelsim SE仿真环境-使用do文件仿真

    本章我们介绍仿真环境搭建是基于Modelsim SE的.Modelsim有很多版本,比如说Modelsim-Altera,但是笔者还是建议大家使用Modelsim-SE,Modelsim-Altera ...

  3. Modelsim SE仿真之器件库的编译与使用

    最近常用Vivado自带的仿真工具,以至于Modelsim SE的使用变得非常陌生,这里针对Modelsim SE自动化仿真使用过程进行一个学习总结,温故而知新. 常用的Altera和Xilinx两家 ...

  4. 用Modelsim SE 直接仿真 Altera(Intel PSG) IP核 需要注意的问题

    如果我们直接用Modelsim SE仿真 Altera IP核,首先会进入Quartus II目录下找到IP核对应的仿真库源文件,然后在Modelsim SE中进行编译,添加到Modelsim SE的 ...

  5. ModelSim SE 10.0a建立并调用Xilinx ISE 13.1仿真库详解

    从网上搜到的有很多,实践过其中几种,其中一种较简单的方法如下: 1)安装ModelSim 和ISE 并注册破解: 2)将ModelSim根目录下的modelsim.ini文件的只读属性去掉. 3)将c ...

  6. 基于Quartus II+ModelSim SE的后仿真(Verilog版)

    基于Quartus II+ModelSim SE的后仿真(Verilog版) 一.Quartus 中的相关设置 在Quartus中建立名为counter的工程,设置仿真工具为ModelSim(Veri ...

  7. Quartus 与modelsim se联合仿真

    ** 1.首先下载并安装两个软件 ** modelsim se是从下面网址下载并破解的(未使用altera-modelsim,这两个是不一样的) http://www.xue51.com/ 至于qua ...

  8. Quartus 13.0和Modelsim SE 10.1a 联合仿真

    Quartus 13.0和Modelsim SE 10.1a联合仿真 1.首先在Quartus建立工程,编写HDL文件,进行编译:编译通过后编写testbench文件,再进行编译,直到通过没有错误.. ...

  9. 在ModelSim中添加Xilinx仿真库

    <link rel="stylesheet" href="https://csdnimg.cn/release/phoenix/template/css/ck_ht ...

最新文章

  1. 手把手教你:如何让Windows恋上Linux bash
  2. mysql支持asp.net_关于Mysql + asp.net注射能支持多语句的感慨(2月)
  3. c语言股票最大收益_金斧子股票配资:股票配资的时候有哪些事项需要注意呢...
  4. 后来的我们王俊凯王源机器人_王俊凯杨紫到底是什么关系
  5. jstl处理栏目与子栏目_Detelogy智能前处理设备微展厅P2:再添新品
  6. python判断密码强度并输出_密码强度判断
  7. MATLAB矩阵操作和算术运算符
  8. 语言4位bcd码怎么加加_S7300400如何使用SCL语言调用SFC1(READ_CLK)读取日期和时间?...
  9. 汇编语言笔记(四):内中断
  10. 柯马机器人示教器编程_CR 17911080,COMAU柯马机器人示教器 ,编程器更换显示器维修...
  11. ios开发学习--动画(Animation)效果源码分享--系列教程1
  12. Win10的WSL很好用呀
  13. mysql自动多表联合更新_mysql多表联合更新 的 三种方法
  14. [golang 易犯错误] golang 局部变量初始化:=的陷阱
  15. HTML5网页扫描二维码
  16. Android Studio 使用友盟进行多渠道打包
  17. 记成功安装win10+elementary双系统
  18. 淘宝天猫商家运营,宝贝标题如何突出卖点,标题编写方法小技巧
  19. 优维科技携EASYOPS3.0亮相GOPS深圳站
  20. 数据库中五个Key(鍵)的概念区分

热门文章

  1. OCP读书笔记(16) - 管理资源
  2. 主机动手系列 — 怎么管理Suse Linux
  3. Hibernate程序性能优化的考虑要点
  4. 学院选人的时候会考虑博士和硕士的比例
  5. BS Model in option
  6. high speed train is awesome
  7. where is lingang city in shanghai?
  8. pde lec 6 part 2
  9. 每次没事情的时候都去学校的活动室或者武术室
  10. 1. Linux内核的配置与裁减: