之前有写一篇stm32f1串口接收与发送的文章,stm32f4与f1只有配置上的一点不同,今天把f4的串口接收与发送代码分享一下

详细解释推荐大家看f1那篇,都是一样的,

stm32f1串口发送与接收_居安士的博客-CSDN博客_stm32串口通信的接收与发送

直接上代码

void uart_init(u32 bound){//GPIO端口设置GPIO_InitTypeDef GPIO_InitStructure;USART_InitTypeDef USART_InitStructure;NVIC_InitTypeDef NVIC_InitStructure;RCC_AHB1PeriphClockCmd(RCC_AHB1Periph_GPIOA,ENABLE); //使能GPIOA时钟:修改RCC_APB1PeriphClockCmd(RCC_APB1Periph_USART2,ENABLE);//使能USART2时钟:修改//串口1对应引脚复用映射GPIO_PinAFConfig(GPIOA,GPIO_PinSource2,GPIO_AF_USART2); //GPIOA2复用为USART2GPIO_PinAFConfig(GPIOA,GPIO_PinSource3,GPIO_AF_USART2); //GPIOA3复用为USART2//USART1端口配置GPIO_InitStructure.GPIO_Pin = GPIO_Pin_2 | GPIO_Pin_3; //GPIOA2与GPIOA3:修改GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF;//复用功能GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz; //速度50MHzGPIO_InitStructure.GPIO_OType = GPIO_OType_PP; //推挽复用输出GPIO_InitStructure.GPIO_PuPd = GPIO_PuPd_UP; //上拉GPIO_Init(GPIOA,&GPIO_InitStructure); //初始化PA2,PA3//USART1 初始化设置USART_InitStructure.USART_BaudRate = bound;//波特率设置USART_InitStructure.USART_WordLength = USART_WordLength_8b;//字长为8位数据格式USART_InitStructure.USART_StopBits = USART_StopBits_1;//一个停止位USART_InitStructure.USART_Parity = USART_Parity_No;//无奇偶校验位USART_InitStructure.USART_HardwareFlowControl = USART_HardwareFlowControl_None;//无硬件数据流控制USART_InitStructure.USART_Mode = USART_Mode_Rx | USART_Mode_Tx;    //收发模式USART_Init(USART2, &USART_InitStructure); //初始化串口2USART_Cmd(USART2, ENABLE);  //使能串口2 USART_ClearFlag(USART2, USART_FLAG_TC);USART_ITConfig(USART2, USART_IT_RXNE, ENABLE);//开启相关中断//Usart1 NVIC 配置NVIC_InitStructure.NVIC_IRQChannel = USART2_IRQn;//串口2中断通道NVIC_InitStructure.NVIC_IRQChannelPreemptionPriority=2;//抢占优先级:修改NVIC_InitStructure.NVIC_IRQChannelSubPriority =2;     //子优先级:修改NVIC_InitStructure.NVIC_IRQChannelCmd = ENABLE;            //IRQ通道使能NVIC_Init(&NVIC_InitStructure);    //根据指定的参数初始化NVIC寄存器、}int fputc(int ch, FILE *f)//串口接收
{USART_SendData(USART2, (uint8_t) ch);while (USART_GetFlagStatus(USART2, USART_FLAG_TXE) == RESET){}return ch;
}void SendString(char *s)//串口发送
{while(*s)
{USART_SendData(USART2,*s++);while(USART_GetFlagStatus(USART2,USART_FLAG_TXE)==RESET){}}}

上面的.c文件需要修改的地方是时钟,串口,IO口,除了这些之外,中断优先级的修改也很重要

建议进行更换中断优先级的测试,比如从高到低试一下3,2,1,0

数字越小,优先级越高

再在main文件里面修改波特率即可

uart_init(921600);

stm32f4串口接收与发送相关推荐

  1. FPGA串口接收与发送详解( part 3 )

    之前的part1~2已经详解完了单个数据的串口接收与发送,链接如下: FPGA串口接收与发送 详解 (part 1 )_居安士的博客-CSDN博客 FPGA串口接收与发送详解( part 2 )_居安 ...

  2. 用STM32F407ZET6的HAL库写一个串口接收,发送代码,支持ringbuff

    你可以参考这个示例代码:https://www.st.com/content/ccc/resource/technical/document/application_note/group0/b5/d4 ...

  3. python3.6串口编程实例_Python3 串口接收与发送16进制数据包的实例

    Python3 串口接收与发送16进制数据包的实例 如下所示: import serial import string import binascii s=serial.Serial('com4',9 ...

  4. 一个简易的51单片机串口接收和发送程序

    作者:李大闯 /********************* 程序功能:接收上位机发过来的一个字符串,然后把该字符串发送给上位机,字符串必须以!结尾 **********************/ #i ...

  5. STM32(3日学习总结|应声虫——串口接收再发送)

    这一块内容卡了我非常长的时间,初次接触串口通讯,概念并不清晰 先说注意事项(看看想起来啥了) debug的时候正常串口输出,但是烧入程序后仅跑出来一次 一.有没有使用DMA,查看初始化程序和串口初始化 ...

  6. arduino串口接收和发送

    文章目录 1程序例子1 2程序例子2 1程序例子1 void setup() {Serial.begin(9600);}void loop() {while (Serial.available() & ...

  7. 关于串口数据的发送和接收(调试必备)

    前言 对于串口的数据发送和接收,大多是都是利用串口中断来进行的,但是这样对于编程方面有一定要求,并且程序也不太好写,比如说,如果让你随意接收一段数据,然后利用串口将它发送出来,第一个需要考虑的问题就是 ...

  8. STM32串口接收数据

    参考串口接收中断处理函数: //------------------------------------------------------------------ //函数名:void USART1 ...

  9. 《STM32从零开始学习历程》——USART串口通讯实验篇1——中断接收与发送

    <STM32从零开始学习历程>@EnzoReventon USART串口通讯实验篇1--中断接收与发送 最近开始接触了STM32F4xx系列单片机,对于我这个从零开始学习的小白来说,可谓困 ...

最新文章

  1. python字符串写入excel-python 将数据写入excel
  2. 模拟浏览器自动化测试工具Selenium之五Centos系统命令行下部署selenium环境试验
  3. ubuntu查看cudnn是否安装成功_深度学习之目标检测系列(0) -ubuntu18.04+RTX2080Ti+cuda+cudnn安装...
  4. JVM内存分为哪几部分?各个部分的作用是什么?
  5. 【Java报错】Greenplum数据库报错 Value can not be converted to requested type 问题解决(踩坑分享)
  6. Exception in thread main expected 'document start', but found BlockMappingStart in 'reader'(测试了)
  7. 【6.1】python中的变量是什么
  8. 福建计算机及应用专业的大学,33所福建大学公布国家级/省级一流本科专业!
  9. 《Web前端开发修炼之道》-读书笔记CSS部分
  10. SinGAN实现的单图动画,ICCV 2019 Best Paper
  11. @RequestParam注解四个属性字段说明
  12. Action类为何要继承ActionSupport
  13. linux tex文件编译,用latexmk编译XeLaTeX tex文件
  14. Venmo、Bakkt、MoneyGram、Uphold的前高管加入Roxe全球支付网络
  15. 技能学习:学习使用golang(gin框架) + vue.js,开发前端全栈网站-1.工具和本地环境
  16. python统计单词出现次数 青少年编程电子学会python编程等级考试二级真题解析2022年3月
  17. 表白套路计算机公式,数学公式的超酷表白
  18. 0705第七讲标准模版库
  19. 2021校园PHP表白墙程序源码
  20. 访问网络内不同ip段的计算机,[转]问题:话说两台电脑在一个局域网内,把它们的IP地址都设成不同的网段,请问,它们可不可以通讯?如...

热门文章

  1. java浏览器渲染_浏览器的渲染机制
  2. STM32 USB高速USB端口加持4G联网
  3. mysql语句创建商品表_MySQL语句创建表
  4. windows环境, C++程序里强制切换显卡(切换为英伟达)
  5. Caused by: java.net.UnknownHostException: bogon: bogon: Name or service not known
  6. 转:月入1.9万,我还是不能买房
  7. Delphi苹果登陆Sign In With Apple完工
  8. 阿里巴巴国际站获得商品详情 API 调用分享(销量、详情图片、宝贝链接)
  9. http请求的几种方法
  10. 《Splunk智能运维实战》——3.3 使用饼图展示最常访问的网页