// 模N,计数器位宽X
module count(
input clk,
input rst_n,
output cnt,
output en);
reg [X:0]cnt;
reg en;always @(posedge clk or negedge rst_n)
if(!rst_n) begin
cnt <= X'b0;
en <= 0; end
else if(cnt == X'd(N-1)) begin
cnt <= X'd0;
en <= 1'b1; end
else begin
cnt <= cnt + 1'b1;
en <= 1'b0; end
endmodule

模N计数器-计数+使能信号相关推荐

  1. 级联模60计数器(Verilog HDL语言描述)(仿真与综合)

    目录 前言 模60计数器 Verilog HDL语言描述 测试文件 仿真波形 RTL Schematic Technology Schematic 前言 看这篇文章前,推荐先看看模10计数器和模6计数 ...

  2. 模6计数器以及模10计数器(Verilog HDL语言设计)(Modelsim仿真与ISE综合)

    目录 前言 模6计数器 Verilog HDL语言描述 测试文件 仿真电路图 RTL Schematic Technology Schematic 模10计数器 Verilog HDL语言描述 测试文 ...

  3. 利用 74390 设计一个模 6 计数器,要求从 000 计数至 101,利用D触发器使其暂态的高电平清零信号延长而稳定

    下面的图有错:请将inst3(非门)删去,图74390与ddf都是上升沿触发 任务 1:利用 74390 设计一个模 6 计数器,要求从 000 计数至 101,请用 Run Functional S ...

  4. 数字时钟计数器(内含模60计数器以及8421BCD码计数器设计代码)

    目录 前言 模60计数器 verilog设计代码如下: ISE综合 模24的8421BCD码计数器 原理 verilog HDL代码 测试文件 仿真波形 数字时钟计数器 verilog HDL代码 测 ...

  5. multisim仿真D触发器设计的模六计数器并在数码管显示0-5

    D触发器设计的模6计数器 设计思路从000计数到101,并用卡诺图进行化简,没有输出为摩尔型 用quartus仿真看波形 BCD译码 选择74LS48芯片,将D触发器输出Q2Q1Q0对应接到输入管脚C ...

  6. EDA实验(Quartus Ⅱ+fpga) (二)---时序电路的设计-模可变计数器设计

    前言: 本文主要介绍了EDA原理与应用这门课程的相关实验及代码.使用的软件是Quartus Ⅱ,该实验使用fpga芯片为cycloneⅤ 5CSEMA5F31C6. (一)实验目的 (1)进一步熟悉E ...

  7. quartus仿真33:74161级联实现模60计数器

    将60分解成6*10,分别用74161实现模6和模10计数器,再级联成模60计数器 利用OC进位端反相得到的信号输入到置位端,模6计数器的实现15-X+1=6,解得置数端需要准备的数为X=10=101 ...

  8. 模256计数器的路径验证

    目录 一.问题 二.验证方案及效果 1.路径数量分析: (1)计数模式: (2)复位模式: (3)置数模式: 2.路径验证方案及仿真 (1)验证1:计数模式的验证 (2)验证2:状态0的置数模式和复位 ...

  9. vhdl写模十计数器(可任意选择)

    新手(我)编写模十计数器的过程 一.实体部分,确定输入输出变量 输入变量一定有一个时钟信号,i_sys_clk 系统复位输入:i_sys_rst 以及系统的输出变量output,模十应该是以四位向量的 ...

  10. verilog对信号二分频 时钟分频信号作为时钟使能信号

    module erfenpin(clk ,rst_n ,//其他信号,举例doutdout);//参数定义parameter DATA_W = 8;//输入信号定义input clk ;input r ...

最新文章

  1. 互联网人的生存指南 | 每日趣闻
  2. Intel汇编语言程序设计学习-第六章 条件处理-上
  3. 《Node.js核心技术教程》读书笔记---思维导图版
  4. java缓存同步_浅谈JSON的数据交换、缓存问题和同步问题
  5. 【LuoguP5289】[十二省联考2019] 皮配
  6. android客户端日志,更新日志 - BugHD Android 客户端上线
  7. nginx模块开发 c语言,Nginx模块开发 – C++模块
  8. Python,入门1
  9. 2022年Java面试宝典【4万字带答案】
  10. 【三星笔试】给定一些汽油E,给定一段距离D,完成D这段距离...
  11. 安科瑞电力监控系统在上海平凉街道23街坊商办项目的应用
  12. Client network socket disconnected before secure TLS connection was establishedView in Conso
  13. 李元翼 梧里 徒死無益
  14. python 基于PHP在线音乐网站
  15. JSP四大作用域,九大内置对象
  16. 什么是Mybatis?Mybatis能干什么?Mybatis怎么配置?
  17. python网址拼接_Python相对完美的URL拼接函数
  18. IBM-IPD研发管理职能
  19. javqhc木马的清除方法
  20. 立创开源 tsop48-dip48通用

热门文章

  1. decimal 占位吗 负数_如何获取BigDecimal的负数
  2. python字符串转负数_python 字符串 步进 负数
  3. 编译 发布 release的apk
  4. 数学之美:《社交网络》中Facemash算法分析
  5. AWS中负载均衡器类型
  6. 如何提高信号发生器(信号源)测量时的幅度精度
  7. RHCE-ansible第二次实验,通过ansible远程yum安装
  8. java流量监控系统demo_搭建一个简单的基于web的网络流量监控可视化系统
  9. 数格子算面积的方法_数格子估算面积与数格点估算面积
  10. 【饭谈】软件测试薪资层次和分段(修仙)