38线译码器74hc138,具有三个地址输入(P2的高3位),八个输出,且输出为低电平

真值表为(图片为转载)

电路图为

我们可以用74hc138来选通74hc573,而74hc573控制数码管(段选和位选),led的点亮

,蜂鸣器和继电器

可以通过以下代码来选通74hc573

void init74hc138(unsigned char cha)
{switch(cha){case 4: P2 = (P2 & 0x1f) | 0x80 ;break;   //开led 的锁存器case 5: P2 = (P2 & 0x1f) | 0xA0 ;break;   //开蜂鸣器和继电器 的锁存器case 6: P2 = (P2 & 0x1f) | 0xC0 ;break;   //数码管段选case 7: P2 = (P2 & 0x1f) | 0xE0 ;break;   //数码管位选default:break;}}

蓝桥杯, 38线译码器74Hc138相关推荐

  1. FPGA设计编程(二) 8-3线优先编码器与3-8线译码器

    目录 [实验要求] [实验软件工具] [实验一]设计一个8-3线优先编码器(74LS148) 1. 实验内容与原理说明 2. 实验模块程序代码和激励代码 (1)设计模块代码 (2)激励模块代码 3. ...

  2. quartus仿真23:3-8线译码器74138的简单应用

    存储器寻址 若干8KB的的存储器,实现64KB内存空间,完成寻址电路的设计 1KB=1024=2^10 8KB=8*1KB=2^13 64KB=8*8KB=2^16 总共需要16位地址码,存储器使能端 ...

  3. 20220407——组合逻辑电路 3-8线译码器

    译码器:扩展控制 输入3位二进制,输出为8个二进制位         A2 A1 A0 ,   Y0 Y1 Y2...Y8 被选中为低电位0   片选端.使能端,控制信号,是否被激活 译码器还具有两个 ...

  4. 20220407——3-8线译码器:quartersⅡ和 modelsim 联合仿真 实验操作

    创建工程 2.选择芯片 3. simulation→modelsim→verilog hdl 4. file→new→Verilog hdl file 5.写代码.编译 6.processing→st ...

  5. 20220407——3-8线译码器:quartersⅡ和 modelsim 联合仿真 原理

    Testbench仿真文件编写 Testbench是一种验证手段,从软件层面对设计的硬件电路进行仿真.在仿真文件里产生的激励信号,作用于被仿真设计文件DUT(Design Under Test) ,产 ...

  6. 用Quartus实现2-4线,3-8线,4-16线译码器及控制七段数码管

    基本步骤 1.创建工程和文件(顶层BDF,还有一个virlog HDL 文件) 牢记工程名与顶层文件名相同,不然会出现can't find design entitle,从而编译时出现错误. 2.创建 ...

  7. 实现74X138和用74X138和74X139构成5-32线译码器

    VerilogHDL程序设计与仿真作业2: --实现74X138和用74X138和74X139构成5-32线译码器 文章目录 VerilogHDL程序设计与仿真作业2: --实现74X138和用74X ...

  8. 蓝桥杯单片机基础学习00_1

    一.基础准备 1.烧录软件 (1)单片机型号:IAP15F2K61S2 (2)输入用户程序运行时的IRC频率:11.0592MHz 2.CT107D单片机综合实训平台 CT107D:单片机芯片(全I/ ...

  9. Python冲击省一蓝桥杯 DFS集锦

    距离蓝桥杯38天 话不多说 直入主题 耐心看完 一定会对你有所帮助 有什么不懂的随时可以私信小郑 深搜虽然很难 但总要面对 如果总是逃避 那就很难进步! 下面呈现的内容将以题目来源+题目分析+代码+知 ...

最新文章

  1. Oracle PL/SQL入门之慨述
  2. 李开复给中国学生的第七封信:21世纪最需要的7种人才
  3. 水来了,数据中心如何做好防汛防洪措施?
  4. 【百战GAN】自动增强图像对比度和颜色美感,GAN如何做?
  5. filebeat获取nginx的access日志配置
  6. oracle登录账号和密码,oracle 登录账号与密码oracle按照中文排序
  7. mysql查询出来的数据用连接_mysql – 使用查询从连接的表中检索数据
  8. Hadoop企业级集群架构 - hadoop安装
  9. js控制隐藏或显示table的某一行
  10. Java中的静态方法和单例模式比较
  11. 程序员的每个阶段,都应该需要思考自己要什么?
  12. 席位分配:惯例Q值法,dhondt法matlab实现
  13. Windows安装JDK1.8
  14. 离散数学第六版第er章偶数题答案_离散数学答案--第二章习题解答.doc
  15. 经纬度5位数和6位数差多少_经度和纬度的最大长度是多少?
  16. Python文件操作错误:OSError: [Errno 22] Invalid argument(关于Windows下文件名中的敏感字符)
  17. 【网页期末作业】用HTML+CSS做一个漂亮简单的学校官网
  18. 二级c语言标学教育,标学教育软件下载-标学教育 安卓版v2.9.0-PC6安卓网
  19. 微信小程序中好看的按钮样式(渐变色)、view的点击变色效果、按钮漂亮的圆角边框、解决hover设置失效
  20. 不使用采集卡,实现相机手机多机位直播

热门文章

  1. 工业机器人技术全解析,值得收藏!
  2. 关于SFM的材质vmt科普
  3. VMT进阶1-自发光
  4. Deepin java开发环境的搭建jdk8,tomcat9,maven3.3.9,nodejs,vue3+
  5. Android 问题:Installation failed due to: ‘Invalid File:
  6. 【数学建模】基于matlab GUI平行停车模拟仿真【含Matlab源码 1877期】
  7. 设计师如何摆脱设计思维的局限
  8. Linux系统和Windows系统的区别
  9. 小学数学第3册计算机教案,小学数学教案,小学数学教学设计
  10. 加密解密技术基础及用OpenSSL创建私有CA