1、异步计数器

1、异步二进制加法器

1、原理


同步:在CLK到来时判断以往的状态是否需要翻转
异步:判断低位是否有进位来判断是否需要翻转

2、电路-下降沿触发


使用3个下降沿触发的T触发器构成异步计数器
CLK0的下降沿导致FF0翻转,若初始状态为000时,需要翻转两次,Q0才会产生下降沿,从而CLKi的翻转频率逐级除以2

每个Qi都有延迟时间tpd,电路总延迟就是所有tpd的累加

3、电路-上升沿触发


使用上升沿触发的T触发器构成加法计数器
因为初始状态为000,并且每个触发器是二进位,所以需要将Q’端接到CLK,使用Q’端的上升沿进行触发

2、异步二进制减法计数器

1、原理

2、电路-下降沿触发

3、电路-上升沿触发

2.5、加减法电路连接规律总结

为什么下降沿Q端和上升沿Q’端就是加法计数器
而上升沿Q端和下降沿Q’端就是减法计数器

由图可知,对于FF0而言,不管是上升沿还是下降沿,都无影响,都是二进制循环,即上升沿下降沿之间只隔着一个状态,即0或者1

而对于FF1及之后的FFi,有如下几种情况
连接Q还是Q’和上升沿和下降沿是可以两两组合的因素

上升沿:上升沿时,无论是连Q还是Q’,都是降序。
连接Q时,Q是降序Q’是升序;而连接Q’时,Q’是降序Q是升序

下降沿:下降沿时,都是升序
连接Q时,Q是升序Q’是降序;连接Q’时,Q’是升序Q是降序

但是一般不将Q’作为输出端

3、异步十进制加法计数器

1、状态

使用4位二进制计数器,直接让1001,即9作为末状态,并且输出为1,下一个状态直接跳回初始状态,则构成十进制计数器

2、电路


分成2部分进行分析:
二进制加法电路:该JK触发器相当于T触发器,因为此时J=K=1。
每来一个CLK就进行翻转,相当于一个两位加法器

3、74LS290

4、异步计数器特点


竞争冒险原因:因为异步,多个触发器先后进行状态改变,有延迟,例如001变为010状态,在FF0从1变为0时,FF1还处于0,此刻就会出现000状态。
由于延迟的关系,不会出现011的瞬时状态,该状态表示FF1先从0变成1,而FF0却还未改变。对于异步计数器而言,下一级FFi的改变一定伴随着低一级FFi-1的改变,并且时间上在低一级之后。

2、移位寄存器型计数器

1、一般结构

计数器其实就是拥有循环功能的移位寄存器,故将最终输入重新作为输入,就可完成循环

2、环形计数器

1、电路

2、状态图


初始状态为

  • 0000:移位过程中状态始终为0000
  • 0001:4进制,有4个电路状态
  • 0011:4进制,有4个电路状态

初始状态为:

  • 1111:移位过程中状态始终为1111
  • 1010:2进制,有2个电路状态
  • 1110:4进制,有4个电路状态


通常都要求电路能够自启动,所以需要修改电路

3、非启动->自启动


4、特点

例如上面的4进制计数器,4位移位寄存器有16个状态,最终却只用了4个状态

3、扭环形计数器

1、电路

3、状态图

同样是8个状态都能自循环,为什么右边就是无效循环呢??

因为在设计电路时,需要判断输出是处于何种状态,所以目前将左边的8位状态循环规定成有效循环,便于判断输出是哪种状态

非自启-自启动

自启动状态图

4、对比

数字电路11-异步计数器相关推荐

  1. 艾伟:WCF从理论到实践(11)-异步

    本系列文章导航 WCF从理论到实践(1):揭开神秘面纱 WCF从理论到实践(2):决战紫禁之巅 WCF从理论到实践(3):八号当铺之黑色契约 WCF从理论到实践(4):路在何方 WCF从理论到实践(5 ...

  2. 同步电路出现异步清零可以吗_异步计数器 || 计数器的分类 ||异步二进制十进制|| 74290 8421 5421 || 数电...

    异步计数器 || 计数器的分类 || 异步 二进制 十进制 || 74290 || 数电 这一节介绍异步二进制计数器. 计数器功能: 计数器是对输入脉冲个数进行计数的时序电路. 计数器除了直接用于计数 ...

  3. jk触发器改为四进制_异步计数器 || 计数器的分类 ||异步二进制十进制|| 74290 8421 5421 || 数电...

    异步计数器 || 计数器的分类 || 异步 二进制 十进制 || 74290 || 数电 这一节介绍异步二进制计数器. 计数器功能: 计数器是对输入脉冲个数进行计数的时序电路. 计数器除了直接用于计数 ...

  4. 数字电路实验 07 - | 计数器及其应用

    一.实验目的和任务 学会用集成电路构成计数器的方法. 掌握中规模集成计数器的使用及功能测试方法. 运用集成计数器构成1/N分频器. 二.实验原理介绍 计数器是数字系统中用得较多的基本逻辑器件,它的基本 ...

  5. 实验五、任意N进制异步计数器设计

    实验五  任意N进制异步计数器设计 实验目的 掌握任意N进制异步计数器设计的方法. 实验要求 一人一组,独立上机. 在电脑上利用Multisim软件完成实验内容. 实验内容 说明任意N进制异步计数器的 ...

  6. 同步计数器与异步计数器的区别,以及4040计数器的使用

    目录 一.4040计数器原理 二.分类 1.异步计数器与同步计数器 三.应用 一.4040计数器原理 4040是由T触发器组成的而精致计数器,主要用于分频和计数. 4040内部有12个计数级,每个计数 ...

  7. HDLBits答案(11)_Verilog计数器

    Verilog计数器 HDLBits链接 前言 今天更新一个小节内容:计数器.计数器可以说是我们接触数字电路以后用的最频繁的模块之一了,无论是项目.应聘还是将来的工作,计数器都无处不在. 题库 题目描 ...

  8. 【机器视觉案例】(11) 眨眼计数器,人脸关键点检测,附python完整代码

    各位同学好,今天和大家分享一下如何使用 mediapipe+opencv 实现眨眼计数器.先放张图看效果. 下图左侧为视频图像,右侧为平滑后的人眼开合比曲线.以左眼为例,若眼眶上下边界的距离与左右边界 ...

  9. 74HC161异步计数器仿真示例

    74HC161计数器仿真示例 Proteus仿真 分别演示十六进制计数和十进制计数. 上面所演示的采用的是MR低电位复位来做清零动作. 74HC161简介 74HS161是异步清零,只要在清零输入端M ...

最新文章

  1. mysql innodb创建数据文件失败
  2. ECCV 2018 MemTrack:《Learning Dynamic Memory Networks for Object Tracking》论文笔记
  3. 2022年3月23日15:51:00——百度2班留存
  4. 跨浏览器开发:CSS代码的金科玉律
  5. 巧用linux版powershell,管理linux下的docker
  6. 日志系统新贵 Loki,确实比笨重的 ELK 轻
  7. uniapp php交互,uni-app路由跳转及参数的传参和接收
  8. IO模型《二》阻塞IO
  9. Windows系统历史版本简介
  10. “91系列”资源网凉了,500余网站被封杀!
  11. 基于SSM或SpringBoot的JavaWeb项目——写作分析系统
  12. HTML+CSS绘制太阳系九大行星运行轨迹
  13. 安装win7激活提示0x80072F8F
  14. 示例代码-Matlab绘制高斯分布曲面图(1)
  15. 学习-Java字符串之字符串、字符数组与字节数组间的使用之单词重新排序
  16. R包estimate评估肿瘤组织中基质及免疫细胞浸润水平
  17. RT-Thread Studio环境下lwIP+ENC28J60的启用与调试
  18. java数字转换MB,GB
  19. [全网最全]管培生群面合集(百度,京东,腾讯,大疆,网易,腾讯....)
  20. MATLAB randint替换为randi函数的操作

热门文章

  1. php实现浏览文件夹按钮,php实现文件管理与基础功能操作
  2. 你听过太空犯罪和南极杀人吗?
  3. 总结2012年9月19日学习情况
  4. 模拟弹子台球--java多线程应用
  5. 黑苹果(OpenCore)踩坑之安装系统正常重启以后无法进入系统#[EB|WL] 0 0 0x01 0x0B 20 0x0E
  6. 利用python 批量下载美拍视频
  7. VMware如此强大,势必成为开源世界的老大
  8. Vue中使用svg(图片不显示问题)
  9. 微信小程序 | 基于云数据库的许愿墙
  10. Hibernate学习(一)